Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
    • Оферта
    • Моя корзина
    • Оформить заказ
    • Мои заказы
    • Мои данные
    • Вход/Выход
  • О нас

Тэги

Описание интерфейса PS2 Verilog Verilator AHDL Altera Verilog тестбенч miner симуляция Осторожненько FPGA Отладка плата Марсоход SignalTap iverilog Обновление статей MIPSfpga LEDs Altera Quartus II Raspberry PI3 MAX II Марсоход3 дешифратор breadboard синусоида synchronizer Эмоции FIFO Scratch ультразвуковой дальномер IR Control MAX10 Programmer генератор передача в ПЛИС ПЛИС процессор Waveforms Изменения на сайте купить плату Quartus II project Mobile Phone 7-segment версия Генератор Serial Волшебство телескоп async FIFO Игрушка для кошки UART Quartus II

Комментарии

  • Спектр сигнала

    ivermectin sale 23.01.2021 23:14
    ivermectin sale: ivermmectin.co/ (http://ivermmectin.co/)

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Marshall 23.01.2021 19:48
    Admiring the time and energy you put into your website and detailed information you present. It's ...

    Подробнее...

     
  • Отрисовка спектра сигнала в программе на Python

    Otto 23.01.2021 18:16
    buying [censored] canada safely female [censored] generic emoforum.org/.../rosieschul ...

    Подробнее...

     
  • Verilog State Machine Framework

    aurogra 100mg canada 23.01.2021 16:27
    aurogra 100mg canada: aurogra.buszcentrum.com/ (https://aurogra.buszcentrum.com/)

    Подробнее...

     
  • Простой генератор псевдослучайных чисел

    Shannon 23.01.2021 09:43
    Tο ƅe not disappointed, ⅼook foг our seals οf trust tһe "crown" or the "green verified" icons оn the ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Как купить наши платы?

Результат поиска: найдено 10 объектов.

Совпадение
Ограничение области поиска
1. Модуль на VERILOG играет музыку ...
(Исходный код)
// MUSICAL STATE MACHINE FOR "PODMOSKOVNYE VECHERA" // Играем "Подмосковные вечера"! module music(     input wire nrst,    //reset signal / сигнал сброса     input wire clk,     ...
Создано 25 января 2010
2. Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором
(Проекты для платы Марсоход2bis)
...  Модуль на Verilog HDL будет выглядеть вот так: module seg4x7_ascii(   input wire clk, // 100MHZ   input wire [31:0] in,   output reg [3:0] digit_sel,   output reg [7:0] out ); reg [19:0] cnt; ...
Создано 05 сентября 2019
3. Цветомузыка в FPGA
(Проекты Intel Quartus Prime для платы Марсоход3)
... платы микрофонного усилителя для платы марсоход3bis в домашних условиях методом ЛУТ 2) построение цифрового КИХ фильтра с большим числом звеньев (у меня до 512), модуль на Verilog, и симуляция фильтра ...
Создано 30 декабря 2018
4. КИХ фильтр на Verilog
(Разное)
...  -5174, 330, 3905, 4029, 1914, -210, -1026, -801. Здесь длина фильтра получилась 25 тапов. Вот коэффициенты фильтров есть. Что с ними делать? Хорошо бы сделать параметрический модуль на Verilog HDL, чтоб ...
Создано 25 апреля 2016
5. Фотографирование: пульт дистанционного управления к Canon EOS 650D
(Проекты Altera Quartus II для платы Марсоход)
Мое фото: Canon EOS 650D: f/4, 18 мм, 949 сек, ISO-200 (10 км от города и небо сильно засвечено). Захотелось мне пофотографировать звезды на длинных выдержках. Так, чтобы оставить фотоаппарат неподвижным ...
Создано 28 сентября 2015
6. Воспроизведение звука. DeltaSigma ЦАП.
(Проекты Altera Quartus II для платы Марсоход2)
... нашего сайта: Модулятор для нашего проекта так же был взят из документа Xilinx. Это модуль на Verilog HDL: module dac(DACout, DACin, Clk); output DACout; // This is the average output that feeds ...
Создано 03 декабря 2013
7. Использование PLL
(Разное)
... сгенерированные файлы. Файл с расширениями *.BSF - это компонент, который можно вставить в схему, если вы делаете проект в схематическом виде. Файл вида mypll.v это модуль на Verilog, экземпляры которого ...
Создано 07 сентября 2012
8. Демультиплексор
(Язык описания аппаратуры Verilog HDL)
Демультиплексор выполняет функцию обратную мультиплексору - "подключает" входной сигнал к нужному выходному, номер которого задается селектором. Если входной сигнал - логическая единица, то он ведет ...
Создано 05 декабря 2011
9. USB устройство из платы Марсоход
(Проекты Altera Quartus II для платы Марсоход)
Я уже писал кое-что про USB.  Тема эта очень сложная, но с другой стороны очень полезная и нужная. У меня одна статья была про USB протокол вообще, а вторая – это был уже первый проект USB для платы ...
Создано 18 октября 2010
10. ПИ-Гаджет
(Проекты Altera Quartus II для платы Марсоход)
...  цифру "один" нужно зажечь только светодиоды под номером один и два. И так далее. Для декодирования мы так же сделали специальный модуль на Verilog: decimal_indicator. Весь проект можно взять здесь: Теперь ...
Создано 14 марта 2010

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
    • Оферта
    • Моя корзина
    • Оформить заказ
    • Мои заказы
    • Мои данные
    • Вход/Выход
  • О нас

Подписка

feed1

Тэги

raspberry PI3 MAX10 Icarus Verilog USBTerm Altera печатные платы FPGA Verilog HDL плата Марсоход Amber ByteBlaster Атлантис в космосе SDRAM OpenFPGA VHDL игра жизнь Google voice recognition API моторчик Serial Марсоход2RPI Cyclone III Icarus monitor Intel ModelSim UBUNTU Воспроизведение звука DeltaSigma ЦАП декодер Последовательный порт Пожар в ДатаЦентре USB устройство магнит MAX II клеточный автомат Изменения на сайте АЦП ПЛИС Частота ноты Altera Quartus II Игрушка для кошки удаленное управление Open Hardware цветомузыка DE10-Standard синхронное FIFO testbench Шилд ethernet Анонс Altera Stratix 10

Комментарии

  • Спектр сигнала

    ivermectin sale 23.01.2021 23:14
    ivermectin sale: ivermmectin.co/ (http://ivermmectin.co/)

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Marshall 23.01.2021 19:48
    Admiring the time and energy you put into your website and detailed information you present. It's ...

    Подробнее...

     
  • Отрисовка спектра сигнала в программе на Python

    Otto 23.01.2021 18:16
    buying [censored] canada safely female [censored] generic emoforum.org/.../rosieschul ...

    Подробнее...

     
  • Verilog State Machine Framework

    aurogra 100mg canada 23.01.2021 16:27
    aurogra 100mg canada: aurogra.buszcentrum.com/ (https://aurogra.buszcentrum.com/)

    Подробнее...

     
  • Простой генератор псевдослучайных чисел

    Shannon 23.01.2021 09:43
    Tο ƅe not disappointed, ⅼook foг our seals οf trust tһe "crown" or the "green verified" icons оn the ...

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама