Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
      • Amber ARM SoCAmber ARM SoC
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

Cyclone 10LP jtagserver Google voice recognition API Verilog Altera clock domain форсунки Новый Quartus II v 14 USB Communication Class Device удаленное управление Android debug Raspberry PI3 Icarus Verilog gray counter CRC32 Verilator Отладка ПЛИС FTDI радио Verilog Gotcha QUARTUS II вычитатель verilog игра Змейка MAX II FPGA Марсоход2 стабильность JTAG Server Altera Quartus II Демультиплексор GNU Octave Low Speed Шилд ethernet работа над ошибками тестбенч Иридиум Видеоигра Питон branch фронт сигнала video game Tennis сигнал схемотехника Altera RTLViewer Марсоход язык C fopen КИХ фильтр на Verilog

Комментарии

  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Leila 17.01.2021 15:56
    Hi there excellent website! Does running a blog similar to this require a great deal of work? I've ...

    Подробнее...

     
  • Новый Quartus II v 14.0

    Annetta 17.01.2021 03:15
    does [censored] work multiple attempts [censored] professional no perscription coupon for [censored] ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Jada 16.01.2021 03:14
    Hey very nice blog!

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Nelly 15.01.2021 17:31
    When some one searches for his necessary thing, thus he/she wishes to be available that in detail ...

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 11:51
    Так. Проблема во мне. Я не той стороной вставил плату. Можно удалить мой предыдущий коммент.

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Проекты Altera Quartus II для платы Марсоход2

Результат поиска: найдено 10 объектов.

Совпадение
Ограничение области поиска
1. Модуль на VERILOG играет музыку ...
(Исходный код)
// MUSICAL STATE MACHINE FOR "PODMOSKOVNYE VECHERA" // Играем "Подмосковные вечера"! module music(     input wire nrst,    //reset signal / сигнал сброса     input wire clk,     ...
Создано 25 января 2010
2. Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором
(Проекты для платы Марсоход2bis)
...  Модуль на Verilog HDL будет выглядеть вот так: module seg4x7_ascii(   input wire clk, // 100MHZ   input wire [31:0] in,   output reg [3:0] digit_sel,   output reg [7:0] out ); reg [19:0] cnt; ...
Создано 05 сентября 2019
3. Цветомузыка в FPGA
(Проекты Intel Quartus Prime для платы Марсоход3)
... платы микрофонного усилителя для платы марсоход3bis в домашних условиях методом ЛУТ 2) построение цифрового КИХ фильтра с большим числом звеньев (у меня до 512), модуль на Verilog, и симуляция фильтра ...
Создано 30 декабря 2018
4. КИХ фильтр на Verilog
(Разное)
...  -5174, 330, 3905, 4029, 1914, -210, -1026, -801. Здесь длина фильтра получилась 25 тапов. Вот коэффициенты фильтров есть. Что с ними делать? Хорошо бы сделать параметрический модуль на Verilog HDL, чтоб ...
Создано 25 апреля 2016
5. Фотографирование: пульт дистанционного управления к Canon EOS 650D
(Проекты Altera Quartus II для платы Марсоход)
Мое фото: Canon EOS 650D: f/4, 18 мм, 949 сек, ISO-200 (10 км от города и небо сильно засвечено). Захотелось мне пофотографировать звезды на длинных выдержках. Так, чтобы оставить фотоаппарат неподвижным ...
Создано 28 сентября 2015
6. Воспроизведение звука. DeltaSigma ЦАП.
(Проекты Altera Quartus II для платы Марсоход2)
... нашего сайта: Модулятор для нашего проекта так же был взят из документа Xilinx. Это модуль на Verilog HDL: module dac(DACout, DACin, Clk); output DACout; // This is the average output that feeds ...
Создано 03 декабря 2013
7. Использование PLL
(Разное)
... сгенерированные файлы. Файл с расширениями *.BSF - это компонент, который можно вставить в схему, если вы делаете проект в схематическом виде. Файл вида mypll.v это модуль на Verilog, экземпляры которого ...
Создано 07 сентября 2012
8. Демультиплексор
(Язык описания аппаратуры Verilog HDL)
Демультиплексор выполняет функцию обратную мультиплексору - "подключает" входной сигнал к нужному выходному, номер которого задается селектором. Если входной сигнал - логическая единица, то он ведет ...
Создано 05 декабря 2011
9. USB устройство из платы Марсоход
(Проекты Altera Quartus II для платы Марсоход)
Я уже писал кое-что про USB.  Тема эта очень сложная, но с другой стороны очень полезная и нужная. У меня одна статья была про USB протокол вообще, а вторая – это был уже первый проект USB для платы ...
Создано 18 октября 2010
10. ПИ-Гаджет
(Проекты Altera Quartus II для платы Марсоход)
...  цифру "один" нужно зажечь только светодиоды под номером один и два. И так далее. Для декодирования мы так же сделали специальный модуль на Verilog: decimal_indicator. Весь проект можно взять здесь: Теперь ...
Создано 14 марта 2010

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
      • Amber ARM SoCAmber ARM SoC
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

Система на кристалле Verilog simulator CPLD В Санкт-Петербурге FTDI2232HL Bitbang mode USB хост контроллер epm7032S Quartus II raspberry PI3 синхронный сброс tesbench Quartus Prime трит новогодняя елка небо LFSR Cyclone IV audacity Перенос на хостинг! ПЛИС VHDL testbench Verilog Altera Yosys Open SYnthesis Suite winrad dll MAX10 марсоход Триггер Wizart Счетчики Scratch SHA256 плата Марсоход verilog TeraTerm Опять 25 iverilog FTDI async FIFO DE10-Standard кошка Raspberry Initial Ram Disk лабиринт Интерфейс Verilog VPI Странная идея cgminer SL4A debug

Комментарии

  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Leila 17.01.2021 15:56
    Hi there excellent website! Does running a blog similar to this require a great deal of work? I've ...

    Подробнее...

     
  • Новый Quartus II v 14.0

    Annetta 17.01.2021 03:15
    does [censored] work multiple attempts [censored] professional no perscription coupon for [censored] ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Jada 16.01.2021 03:14
    Hey very nice blog!

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Nelly 15.01.2021 17:31
    When some one searches for his necessary thing, thus he/she wishes to be available that in detail ...

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 11:51
    Так. Проблема во мне. Я не той стороной вставил плату. Можно удалить мой предыдущий коммент.

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама