Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

Отладка icarus FPGA ПЛИС внутри ПЛИС Verilog HDL Quartus II Star Track XMODEM шаговый двигатель Умный дом АЦП 2232H датчик температуры Марсоход3 SDRAM FIFO Телескоп БТА Архыз HDMI Часть3 Регистровая логика взято с хабра Intel Quartus Prime MINGW Verilog часы НАНО-паяльник Пошаговая инструкция создаем проект Quartus II Еще про отладку WinDbg ALTPLL декодер SignalTap USB протокол Android USBTerm ds18b20 ПЛИС SL4A лазер SDR радио приемник VHDL Марсоход MBFTDI CORE testbench Программатор MBFTDI Марсоход2bis как программировать Дешифратор HSYNC

Комментарии

  • Программатор MBFTDI для Altera Quartus Prime, работающего в Ubuntu Linux

    tadalafil 20mg cost 27.01.2021 09:13
    tadalafil 20mg cost: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

     
  • Расчет коэффициентов компенсационного FIR фильтра в GNU Octave.

    tadalafil headache 26.01.2021 16:59
    tadalafil headache: tadalafili.com/ (https://tadalafili.com/)

    Подробнее...

     
  • Программное обеспечение

    Perry 26.01.2021 13:47
    Ridiculous sttory there. What happened after? Take care! hub.docker.com/.../...

    Подробнее...

     
  • Скачиваем САПР Intel Quartus Prime

    Essie 26.01.2021 12:34
    Vóc dáng của nữ diễn viên sau khi giảm cân. Sở dĩ như vậy vì trong giấc ngủ, cơ thể sản sinh ra nhiều ...

    Подробнее...

     
  • Verilog System Tasks

    ateff 26.01.2021 08:48
    Я вот что-то не совсем понимаю смысла вподобного рода симуляции. Верилог всё-таки не язык программировани ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Язык описания аппаратуры Verilog HDL

Результат поиска: найдено 39 объектов.

Совпадение
Ограничение области поиска
1. Язык описания аппаратуры Verilog HDL
(Категория)
... Verilog HDL. Блокирующее и не блокирующее присваивание. Еще, все наше краткое описание можно выкачать сразу в виде одного PDF файла: Отдельная тема, тем не менее связанная с Verilog - это симуляция ...
Создано 30 ноября -0001
2. Симуляция проектов в Quartus Prime v20.1 через редактор Waveform ...
(Intel Quartus Prime)
... У нас было несколько статей на эту тему, например, вот симуляция с Icarus Verilog или вот про ModelSim. Иногда можно обойтись и без тестбенчей. Я уже когда-то очень давно (хех, 10 лет назад) писал статью ...
Создано 28 июля 2020
3. Симуляция usbhost контроллера ...
(FPGA & Verilog блог)
В предыдущей статье я рассказал, как запустил свой собственный велосипед USB хост контроллер и как он работает в железе в плате Марсоход3. Там я в основном рассказывал, как взаимодействует управляющая ...
Создано 18 марта 2020
4. Симуляция системы на кристале Amber ARM v2a SoC с помощью Verilator ...
(ARM System-on-Chip)
... даже симулировал этот проект в Icarus Verilog, но работала та симуляция чрезвычайно медленно. Это то, что нужно. Я попробую теперь симулировать этот же Amber SoC с помощью Verilator. Посмотрим насколько ...
Создано 06 декабря 2019
5. Симуляция АЦП в ПЛИС MAX10. ...
(FPGA & Verilog блог)
Есть простой способ симуляции АЦП, встроенной в ПЛИС Intel MAX10. Если сказать точнее, то существует простой способ симулировать выходные данные АЦП в среде ModelSim. Сейчас расскажу, как это сделать. ...
Создано 13 марта 2017
6. Симуляция SDRAM контроллера в ModelSim ...
(Разное)
...  на VHDL - тогда функциональная симуляция возможна. У нас же проект использует и Verilog и VHDL, а значит мы используем нетлисты *.VO созданные Quartus II из VHDL и поэтому нам сейчас доступна только временна ...
Создано 16 ноября 2014
7. Симуляция проекта Amber с Icarus Verilog. ...
(ARM System-on-Chip)
... нормальный инструмент. Наверное я его просто не до конца освоил. Я буду пробовать симулировать с помощью Icarus Verilog, простой консольный симулятор. Конечно, сейчас моя симуляция будет не полной, она ...
Создано 24 сентября 2012
8. Симуляция проекта Amber23 для платы Марсоход2. Исполнение программы Hello-World! ...
(Исходный код)
//тестбенч для проекта Amber в плате Марсоход2 `timescale 1 ns / 1 ns module tb(); reg sysrst; reg clk_80mhz; reg [31:0] clk_count = 'd0; integer ...
Создано 24 сентября 2012
9. Симуляция MAX II UFM в ModelSim ...
(Разное)
...  Work. Что мы видим: симуляция не может быть проведена, так как не найден модуль maxi_ufm. Вот как выглядит сообщение об ошибке в ModelSim: #         Region: /testbench/max2inst/b2v_inst13 # Loadin ...
Создано 30 июля 2012
10. Симуляция проекта Теннис ...
(Разное)
Иногда приходится иметь дело с аппаратными проектами в которых отдельные события происходят довольно редко. И не то что бы рабочая частота была небольшой - как раз нет, рабочая частота довольно высокая ...
Создано 05 марта 2011
11. Симуляция проекта с помощью Icarus-Verilog ...
(Разное)
После некоторых раздумий я решил написать статью о симуляции Verilog проектов с помощью пакета программ icarus-verilog. Мне кажется, что это лучший способ «быстро попробовать» возможности симуляции. Конечно, ...
Создано 28 ноября 2010
12. Пошаговая инструкция для Quartus II: Симуляция проекта ...
(Intel Quartus Prime)
Какой бы проект для CPLD или FPGA мы ни делали: сложный или простой, всегда полезно произвести его симуляцию. Симуляция – это программное тестирование проекта, всегда делается до его проверки в железе. ...
Создано 10 августа 2010
13. Verilator
(FPGA & Verilog блог)
Я уже писал про симуляцию Verilog HDL проектов в ModelSim и с помощью Icarus Verilog. Однако, конечно, существуют и другие средства. Один из самых быстрых симуляторов, и к тому же свободный и бесплатный, ...
Создано 26 ноября 2019
14. Цветомузыка в FPGA
(Проекты Intel Quartus Prime для платы Марсоход3)
... платы микрофонного усилителя для платы марсоход3bis в домашних условиях методом ЛУТ 2) построение цифрового КИХ фильтра с большим числом звеньев (у меня до 512), модуль на Verilog, и симуляция фильтра ...
Создано 30 декабря 2018
15. Динамический сдвиг фазы частоты с PLL
(Разное)
У нас на сайте уже была пара статей про PLL. PLL - это, если говорить просто, встроенный в ПЛИС генератор тактовых частот. Он позволяет из одной входной тактовой частоты синтезировать несколько других ...
Создано 13 апреля 2017
16. Как симулировать проекты Quartus Prime выполненные в схеме?
(Разное)
... аем k1 и отпускаем ее еще через 200нс. Единицы измерения временных интервалов в тестбенче задаются в первой строке с помощью timescale. Довольно подробно симуляция описана другой статье 11-blog/118-mode ...
Создано 21 января 2017
17. Сложности разработки USB хоста для проекта USBTerm.
(Проект графического терминала USBTerm)
...  Компилировать проект икарусом: запустите test_usb.bat. Симуляция - запустите из консоли "vvp qqq". Просмотр получившихся временных диаграмм "gtkwave out.vcd". Покажу некоторые временные диаграммы, котор ...
Создано 23 февраля 2016
18. Видеоадаптер для проекта USBTerm
(Проекты Intel Quartus Prime для платы Марсоход3)
... просто симулировать. Результат компиляции - файл qqq (задается через параметр "-o" к iverilog). Запускаю симулятор икаруса: vvp qqq. Собственно симуляция проекта - это у меня довольно долгий процесс.  ...
Создано 07 декабря 2015
19. Простейший SDRAM-контроллер на VHDL
(Разное)
...  я расскажу в одной из следующих статей. Как обычно, проект можно обсудить в комментариях – с вашей помощью статьи могут стать интереснее и нагляднее. P.S.: Читайте продолжение истории здесь: Симуляци ...
Создано 13 ноября 2014
20. Симулятор в Quartus v13
(Разное)
...  сигналов - всего 16 комбинаций. После того, как входные сигналы созданы можно будет начать симуляцию. Шаг пятый Симуляция. Нажимаю кнопку в окне Run Functional Simulation. И вот появляется ново ...
Создано 10 июня 2013
  • В начало
  • Назад
  • 1
  • 2
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

SDR радио приемник SDR radio Icarus Verilog VHDL мышь DE10-Standard Нанотехнологии Доработка микроволновки Active Serial Altera MBFTDI Блог новичка Hello World RS232 мультиплексор MAX10 Verilog Атлантис ПЛИС Отладка Linux в Amber SoC Verilog HDL ModelSim преобразователь уровней Программное обеспечение программатор Портирование проекта Модуль приемника USB САПР колебательный контур OpenCores testbench трассировка сигнала процессор Marsohod2 Brainfuck Verilog Gotcha видеоигра FPGA тестбенч Амплитудная модуляция генератор SVF Player mbftdi FTDI Эффект Эхо технология микросхем ПЛИС RTLViewer USB Tracker Отладка

Комментарии

  • Программатор MBFTDI для Altera Quartus Prime, работающего в Ubuntu Linux

    tadalafil 20mg cost 27.01.2021 09:13
    tadalafil 20mg cost: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

     
  • Расчет коэффициентов компенсационного FIR фильтра в GNU Octave.

    tadalafil headache 26.01.2021 16:59
    tadalafil headache: tadalafili.com/ (https://tadalafili.com/)

    Подробнее...

     
  • Программное обеспечение

    Perry 26.01.2021 13:47
    Ridiculous sttory there. What happened after? Take care! hub.docker.com/.../...

    Подробнее...

     
  • Скачиваем САПР Intel Quartus Prime

    Essie 26.01.2021 12:34
    Vóc dáng của nữ diễn viên sau khi giảm cân. Sở dĩ như vậy vì trong giấc ngủ, cơ thể sản sinh ra nhiều ...

    Подробнее...

     
  • Verilog System Tasks

    ateff 26.01.2021 08:48
    Я вот что-то не совсем понимаю смысла вподобного рода симуляции. Верилог всё-таки не язык программировани ...

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама