ARM System-on-Chip
- 1. Язык описания аппаратуры Verilog HDL
- (Категория)
- ... тема, тем не менее связанная с Verilog - это симуляция проектов. Мы рекомендуем для функциональной симуляции проектов простое и эффективное средство Icarus Verilog + GtkWave - это компилятор, симулятор ...
- Создано
- 2. Симуляция системы на кристале Amber ARM v2a SoC с помощью Verilator
- (ARM System-on-Chip)
- ... даже симулировал этот проект в Icarus Verilog, но работала та симуляция чрезвычайно медленно. Это то, что нужно. Я попробую теперь симулировать этот же Amber SoC с помощью Verilator. Посмотрим насколько ...
- Создано 06 Декабрь 2019
- 3. Verilator
- (FPGA & Verilog блог)
- Я уже писал про симуляцию Verilog HDL проектов в ModelSim и с помощью Icarus Verilog. Однако, конечно, существуют и другие средства. Один из самых быстрых симуляторов, и к тому же свободный и бесплатный, ...
- Создано 26 Ноябрь 2019
- 4. Цветомузыка в FPGA
- (Проекты Intel Quartus Prime для платы Марсоход3)
- ... платы микрофонного усилителя для платы марсоход3bis в домашних условиях методом ЛУТ 2) построение цифрового КИХ фильтра с большим числом звеньев (у меня до 512), модуль на Verilog, и симуляция фильтра ...
- Создано 30 Декабрь 2018
- 5. Динамический сдвиг фазы частоты с PLL
- (Разное)
- У нас на сайте уже была пара статей про PLL. PLL - это, если говорить просто, встроенный в ПЛИС генератор тактовых частот. Он позволяет из одной входной тактовой частоты синтезировать несколько других ...
- Создано 13 Апрель 2017
- 6. Симуляция АЦП в ПЛИС MAX10.
- (FPGA & Verilog блог)
- ... .LED( led ) ); endmodule Здесь в тестбенче просто имитируется тактовая частота 100МГц платы Марсоход3, подаваемая на ПЛИС MAX10, в которую загружен проект, модуль top. Симуляция в ModelSim ...
- Создано 13 Март 2017
- 7. Как симулировать проекты Quartus Prime выполненные в схеме?
- (Разное)
- ... рез 200нс нажимаем k1 и отпускаем ее еще через 200нс. Единицы измерения временных интервалов в тестбенче задаются в первой строке с помощью timescale. Довольно подробно симуляция описана другой статье 1 ...
- Создано 21 Январь 2017
- 8. Сложности разработки USB хоста для проекта USBTerm.
- (Проект графического терминала USBTerm)
- ... Компилировать проект икарусом: запустите test_usb.bat. Симуляция - запустите из консоли "vvp qqq". Просмотр получившихся временных диаграмм "gtkwave out.vcd". Покажу некоторые временные диаграммы, котор ...
- Создано 23 Февраль 2016
- 9. Видеоадаптер для проекта USBTerm
- (Проекты Intel Quartus Prime для платы Марсоход3)
- ... с помощью icarus verilog его довольно просто симулировать. Результат компиляции - файл qqq (задается через параметр "-o" к iverilog). Запускаю симулятор икаруса: vvp qqq. Собственно симуляция проекта ...
- Создано 07 Декабрь 2015
- 10. Симуляция SDRAM контроллера в ModelSim
- (Разное)
- ... на VHDL - тогда функциональная симуляция возможна. У нас же проект использует и Verilog и VHDL, а значит мы используем нетлисты *.VO созданные Quartus II из VHDL и поэтому нам сейчас доступна только временна ...
- Создано 16 Ноябрь 2014
- 11. Простейший SDRAM-контроллер на VHDL
- (Разное)
- ... я расскажу в одной из следующих статей. Как обычно, проект можно обсудить в комментариях – с вашей помощью статьи могут стать интереснее и нагляднее. P.S.: Читайте продолжение истории здесь: Симуляци ...
- Создано 13 Ноябрь 2014
- 12. Симулятор в Quartus v13
- (Разное)
- ... сигналов - всего 16 комбинаций. После того, как входные сигналы созданы можно будет начать симуляцию. Шаг пятый Симуляция. Нажимаю кнопку в окне Run Functional Simulation. И вот появляется ново ...
- Создано 10 Июнь 2013
- 13. Графический дизайн или текст Verilog/VHDL?
- (Разное)
- ... и запуск ОС Linux в системе. Это очень сложная симуляция, она включала в себя модель памяти SDRAM, саму систему на кристалле вместе с процессором, bootrom, последовательным портом, таймером, контроллером ...
- Создано 30 Май 2013
- 14. Отладка Linux в Amber SoC
- (ARM System-on-Chip)
- ... м VCD файла у меня состовляет больше 200Мбайт. А файл лога больше 50-ти Мбайт. Кстати сама симуляция занимает что-то около часа на моем ноутбуке. Итак, запуская симулятор Icarus Verilog с моим тестбенч ...
- Создано 09 Декабрь 2012
- 15. Проект-исследование счетчика на DET Flip-Flop
- (Проекты Altera Quartus II для платы Марсоход2)
- Как известно, все цифровые схемы строятся по общему принципу «машины состояния». Вся схема проекта состоит как-бы из двух частей: регистров, которые хранят текущее состояние системы и комбинационной ...
- Создано 17 Ноябрь 2012
- 16. Симуляция проекта Amber с Icarus Verilog.
- (ARM System-on-Chip)
- ... – вполне нормальный инструмент. Наверное я его просто не до конца освоил. Я буду пробовать симулировать с помощью Icarus Verilog, простой консольный симулятор. Конечно, сейчас моя симуляция будет не полной, ...
- Создано 24 Сентябрь 2012
- 17. Симуляция проекта Amber23 для платы Марсоход2. Исполнение программы Hello-World!
- (Исходный код)
- //тестбенч для проекта Amber в плате Марсоход2 `timescale 1 ns / 1 ns module tb(); reg sysrst; reg clk_80mhz; reg [31:0] clk_count = 'd0; integer ...
- Создано 24 Сентябрь 2012
- 18. Анализ исходной системы Amber ARM-compatible core.
- (ARM System-on-Chip)
- ... чем симулировать: может Icarus Verilog, а может ModelSim. В любом случае симуляция конечно нам будет нужна. Мы ведь обязательно захотим посмотреть внутрь процессора, как же работает? Значит будем писать ...
- Создано 13 Сентябрь 2012
- 19. Симуляция MAX II UFM в ModelSim
- (Разное)
- ... пункты Simulate => Start Simulation… Появляется диалоговое окно, где нужно выбрать наш тестбенч в нашей библиотеке Work. Что мы видим: симуляция не может быть проведена, так как не найден модул ...
- Создано 30 Июль 2012
- 20. Реализация SIN и COS на Verilog
- (Язык описания аппаратуры Verilog HDL)
- Язык описания аппаратуры Verilog HDL очень часто используется для проектирования аппаратуры с интенсивными математическими вычислениями. Алгоритмы БПФ (Быстрое Преобразование Фурье), ДКП (Дискретное ...
- Создано 22 Май 2012
Подробнее...