Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

UART управление state machine Тестбенч игры Теннис HDMI MBFTDI Verilog текстовый дисплей логический анализатор MAX10 преобразователь уровней Verilog примеры Отладка Linux в Amber SoC Quaruts II Играем мелодию Подмосковные вечера Отладка программ в MIPSfpga JTAG Server CRC32 USBTerm Синтезатор нот на VERILOG RTLViewer цветомузыка Дэвид М Харрис и Сара Л Харрис амплитудная модуляция терминал VT100 LVSD АЛЬТЕРА шилд главы 1 1 и 1 Питание платы Марсоход GNU Octave ПЛИС FTDI2232HL Bitbang mode асинхронный сброс bblpt mbftdi testbench GOWIN ПИ-Гаджет Еще про отладку WinDbg измерение частоты Connector shield AVAGO ZX spectrum видео игра Теннис USB программатор

Комментарии

  • Verilog State Machine Framework

    cialis original 20mg 22.01.2021 11:47
    [censored] original 20mg: ciaalis2u.com/ (http://ciaalis2u.com/)

    Подробнее...

     
  • Первый запуск QuartusII Web Edition

    Eulalia 22.01.2021 11:05
    Część z tych propozycji mɑ swoje jednoosobowe agencje, część z nich manipuluje z agencjami. Ꭺlso visit ...

    Подробнее...

     
  • Простой генератор псевдослучайных чисел

    Kristen 22.01.2021 10:18
    Ιf yoᥙ have any trouble with the terminology, ɗon’t Ье concerned, you cɑn ask the girls fоr the ...

    Подробнее...

     
  • USB-JTAG MBFTDI Programming Device

    order cialis 22.01.2021 07:48
    order [censored]: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

     
  • Quartus II. Часть3. Регистровая логика.

    cialis pills 22.01.2021 04:25
    [censored] pills: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Результат поиска: найдено 66 объектов.

Совпадение
Ограничение области поиска
1. Первый проект для платы M02mini
(Проекты Quartus Prime для M02mini)
... перенастроить этот PLL так, как нужно вам. Здесь сделано деление на два просто для примера. 2) Двоичный счетчик lpm_counter, 32бита. Из этих 32х бит только выходы q[23:20] идут на выходной сигнал, на ...
Создано 02 августа 2020
2. Симуляция проектов в Quartus Prime v20.1 через редактор Waveform
(Intel Quartus Prime)
... новый файл схемы *.bdf и сохраняю его с именем проекта. На схему ставлю входы (input), выходы (output) и счетчик (lpm_counter). Для установки компонентов на схему делаю правый клик по схеме и в выпадающем ...
Создано 28 июля 2020
3. Симуляция usbhost контроллера
(FPGA & Verilog блог)
... x=idx+1; cmd_time[idx]=203219; cmd_val[idx]=8'h25; idx=idx+1; cmd_time[idx]=203222; cmd_val[idx]=8'h05; idx=idx+1; idx=0; end reg [32:0]counter=0; always @(posedge clock) begin counteriverilog -o ...
Создано 18 марта 2020
4. Реверс инжиниринг микросхемы RGB светодиода WS2812B
(Проекты Altera Quartus II для платы Марсоход)
s7GkTgyDVCc Приближается Новый Год! Мы поздравляем читателей нашего сайта с этим праздником! НО, сегодня нам нужен Новогодний FPGA Проект. У нас уже чего только не было на сайте: и двигалось и светило ...
Создано 31 декабря 2019
5. Verilator
(FPGA & Verilog блог)
... с помощью Verilator. Для примера возьмем вот такой простой файл счетчика с асинхронным сбросом, написанный на Verilog HDL (файл counter.v): module counter(   input wire rst,   input wire clk,   ...
Создано 26 ноября 2019
6. Создание нового FPGA проекта Intel Quartus Prime с нуля
(Intel Quartus Prime)
... платы Маросход3. Текст модуля: module project(   input wire clk,   output wire [7:0]led ); reg [31:0]counter; always @(posedge clk)   counter Assignments Editor. Тут не обойтись без документации ...
Создано 03 ноября 2019
7. Подборка проектов для платы Марсоход2bis
(Проекты для платы Марсоход2bis)
... ниже проектов можно получить на нашей странице github: https://github.com/marsohod4you/marsohod2bis Внутри этого репозитория для каждого отдельного FPGA проекта создана отдельная папка, например, counter_verilog ...
Создано 26 августа 2019
8. Управление светодиодной лентой
(Проекты Intel Quartus Prime для платы Марсоход3)
... = 2; localparam NUM_TOTAL = (NUM_LEDS+NUM_RESET_LEDS); //3 tick counter reg [1:0] cnt3 = 2'b0; always @(posedge clk)   if (cnt3 == 2'b10)     cnt3  ...
Создано 25 декабря 2018
9. Как вернуть скрипту результат симуляции Verilog?
(Разное)
... симулировать счетчик с синхронным сбросом: module counter(    input wire nreset,    input wire clk,    output wire [7:0]out ); reg [7:0]cnt; always @( posedge clk )   if( !nreset)     cnt  ...
Создано 11 сентября 2018
10. Первый проект для платы Марсоход2RPI
(Проекты Intel Quartus Prime для Марсоход2RPI)
... на плате кнопки KEY[0] счетчик будет сбрасываться, а по нажатию кнопки KEY[1] будет останавливаться счет. reg [31:0]counter; always @( posedge w_clk ) begin   if( KEY[0]==1'b0 )     counter Seria ...
Создано 26 ноября 2017
11. Запуск тестовых программ в SoC MIPSfpga на плате Марсоход3
(MIPSfpga в плате Марсоход3)
...  Итак, в проекте mipsfpga_plus есть папка programs и в ней еще несколько подпапок: 00_counter 01_light_sensor 02_cache_misses 03_pipeline_bypasses 04_memtest 05_uart 06_timer_irq 07_eic 08_uart_irq ...
Создано 18 ноября 2017
12. Компилируем и испытываем процессор MIPSfpga в плате Марсоход3
(MIPSfpga в плате Марсоход3)
... лучилось. 7) После пункта 6 процессор уже готов к работе, но нужно туда загрузить ему программу. Примеры программ есть в папке mipsfpga-plus\programs\. Самый простой пример - это mipsfpga-plus\programs\00_co ...
Создано 10 ноября 2017
13. Виртуальные светодиоды и 7-ми сегментный индикатор
(Проекты Intel Quartus Prime для платы Марсоход3)
... wire w_clk_hdmi; wire w_locked; mypll mypll_inst(   .inclk0( CLK100MHZ ),   .c0( w_clk_video ), //74MHz   .c1( w_clk_hdmi ), //370MHz   .locked( w_locked ) ); reg [47:0]counter; always @( posedge ...
Создано 06 ноября 2017
14. Радиопередатчик с фазовой модуляцией
(Проекты Intel Quartus Prime для платы Марсоход3)
После последнего проекта, где для точного измерения интервалов времени используется динамический сдвиг фазы тактовой частоты PLL в ПЛИС Альтеры, пришла в голову другая идея. А что если попытаться реализовать ...
Создано 04 мая 2017
15. Точное измерение интервалов времени с помощью ПЛИС
(Проекты Intel Quartus Prime для платы Марсоход3)
  Предположим есть задача - измерить время распространения сигнала в линии задержки, как на рисунке выше. Самый простой и очевидный способ измерения требует высокой частоты проекта. Период тактовой ...
Создано 17 апреля 2017
16. Динамический сдвиг фазы частоты с PLL
(Разное)
... и в нем появляются новые входы и выходы, которых раньше не было. Это входы phasecounterselect[2..0] phaseupdown phasestep scanclk и выход phasedone С помощью этих сигналов можно ...
Создано 13 апреля 2017
17. Как симулировать проекты Quartus Prime выполненные в схеме?
(Разное)
... сигнальным линиям. Тактовая частота с PLL подается на 32-х битный счетчик LPM_COUNTER. Это так же альтеровский библиотечный компонент. Некоторые выходы счетчика выведены на светодиоды платы LED[7..0]. ...
Создано 21 января 2017
18. Verilog State Machine Framework
(Проекты Intel Quartus Prime для платы Марсоход3)
Рискну предложить почтенной публике мое новейшее "изобретение": VSMF, Verilog State Machine Framework. Я делаю его для одного из наших внутренних проектов. Пока это не полностью завершенная работа, ...
Создано 24 октября 2015
19. Моргаем светодиодами платы Марсоход3
(Проекты Intel Quartus Prime для платы Марсоход3)
... е выше или ниже. С выхода PLL сигнал идет на счетчик LPM_COUNTER. У самого компонента счетчика много параметров. Правый клик на компоненте и из выпадающего меню выбираем пункт Properties. В окне свойс ...
Создано 12 августа 2015
20. Обновление для простого SDRAM контроллера
(Разное)
... std_logic := '0'; signal sdram_dq_oe : std_logic := '0'; --output enable signal init_cnt : integer := 0; --initialization counter value signal refresh_cnt : integer := 0; --refresh ...
Создано 05 марта 2015
  • В начало
  • Назад
  • 1
  • 2
  • 3
  • 4
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

MAX10 Verilog HDL Verilog Altera icarus-verilog Serial шилд Ethernet двигатели теннис CPLD Гирлянда Icarus Verilog день радио Quartus II тестбенч АМ радиопередатчик INITRD симуляция make menuconfig капча синхронизатор Altera Quartus II breadboard USBTerm сигнал always MAX II FPGA async FIFO flip-flop Игра Жизнь внутри ПЛИС магнит channel B и USB ScratchBoard CORE Обновление статей MIPSfpga Испытание Quartus II v12 0 SP1 проект для ПЛИС Телескоп БТА Архыз драйвер последовательный порт mbftdi синусоида Двигатели USB Communication Class Device Управление медиацентром сдвиг фазы PLL генератор Проект FPGA

Комментарии

  • Verilog State Machine Framework

    cialis original 20mg 22.01.2021 11:47
    [censored] original 20mg: ciaalis2u.com/ (http://ciaalis2u.com/)

    Подробнее...

     
  • Первый запуск QuartusII Web Edition

    Eulalia 22.01.2021 11:05
    Część z tych propozycji mɑ swoje jednoosobowe agencje, część z nich manipuluje z agencjami. Ꭺlso visit ...

    Подробнее...

     
  • Простой генератор псевдослучайных чисел

    Kristen 22.01.2021 10:18
    Ιf yoᥙ have any trouble with the terminology, ɗon’t Ье concerned, you cɑn ask the girls fоr the ...

    Подробнее...

     
  • USB-JTAG MBFTDI Programming Device

    order cialis 22.01.2021 07:48
    order [censored]: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

     
  • Quartus II. Часть3. Регистровая логика.

    cialis pills 22.01.2021 04:25
    [censored] pills: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама