- 1. Verilator
- (FPGA & Verilog блог)
- ... с помощью Verilator. Для примера возьмем вот такой простой файл счетчика с асинхронным сбросом, написанный на Verilog HDL (файл counter.v): module counter( input wire rst, input wire ...
- Создано 26 Ноябрь 2019
- 2. Создание нового FPGA проекта Intel Quartus Prime с нуля
- (Intel Quartus Prime)
- ... платы Маросход3. Текст модуля: module project( input wire clk, output wire [7:0]led ); reg [31:0]counter; always @(posedge clk) counter<=counter+1; assign led = counter[27:20]; ...
- Создано 03 Ноябрь 2019
- 3. Подборка проектов для платы Марсоход2bis
- (Проекты для платы Марсоход2bis)
- ... ниже проектов можно получить на нашей странице github: https://github.com/marsohod4you/marsohod2bis Внутри этого репозитория для каждого отдельного FPGA проекта создана отдельная папка, например, counter_verilog ...
- Создано 26 Август 2019
- 4. Управление светодиодной лентой
- (Проекты Intel Quartus Prime для платы Марсоход3)
- ... = 8; parameter NUM_RESET_LEDS = 2; localparam NUM_TOTAL = (NUM_LEDS+NUM_RESET_LEDS); //3 tick counter reg [1:0] cnt3 = 2'b0; always @(posedge clk) if (cnt3 == 2'b10) cnt3 <= 2' ...
- Создано 25 Декабрь 2018
- 5. Как вернуть скрипту результат симуляции Verilog?
- (Разное)
- ... симулировать счетчик с синхронным сбросом: module counter( input wire nreset, input wire clk, output wire [7:0]out ); reg [7:0]cnt; always @( posedge clk ...
- Создано 11 Сентябрь 2018
- 6. Первый проект для платы Марсоход2RPI
- (Проекты Intel Quartus Prime для Марсоход2RPI)
- ... w_clk, которая идет из PLL По нажатию на плате кнопки KEY[0] счетчик будет сбрасываться, а по нажатию кнопки KEY[1] будет останавливаться счет. reg [31:0]counter; always @( posedge w_clk ) begin ...
- Создано 26 Ноябрь 2017
- 7. Запуск тестовых программ в SoC MIPSfpga на плате Марсоход3
- (MIPSfpga в плате Марсоход3)
- ... Итак, в проекте mipsfpga_plus есть папка programs и в ней еще несколько подпапок: 00_counter 01_light_sensor 02_cache_misses 03_pipeline_bypasses 04_memtest 05_uart 06_timer_irq 07_eic 08_uart_irq ...
- Создано 18 Ноябрь 2017
- 8. Компилируем и испытываем процессор MIPSfpga в плате Марсоход3
- (MIPSfpga в плате Марсоход3)
- ... bsp;Самый простой пример - это mipsfpga-plus\programs\00_counter. Его нужно откомпилировать. Надеюсь, вы уже скачали и установили Software Developr Kit bp https://www.mips.com/develop/tools/codescape-mips-sdk/& ...
- Создано 10 Ноябрь 2017
- 9. Виртуальные светодиоды и 7-ми сегментный индикатор
- (Проекты Intel Quartus Prime для платы Марсоход3)
- ... //370MHz .locked( w_locked ) ); reg [47:0]counter; always @( posedge w_clk_video or negedge KEY0 ) begin if( ~KEY0 ) counter <= 0; else if( KEY1 ) ...
- Создано 06 Ноябрь 2017
- 10. Радиопередатчик с фазовой модуляцией
- (Проекты Intel Quartus Prime для платы Марсоход3)
- ... wc0; reg [7:0]cnt8; always @( posedge scanclk ) cnt8 <= cnt8 + 8'h01; mypll mypll_ ( .areset( ~key0 ), .inclk0(CLK100MHZ), .phasecounterselect( 3'b011 ), .phaseste ...
- Создано 04 Май 2017
- 11. Точное измерение интервалов времени с помощью ПЛИС
- (Проекты Intel Quartus Prime для платы Марсоход3)
- ... //PLL mypll mypll_ ( .areset( 1'b0 ), .inclk0( CLK100MHZ ), .phasecounterselect( 3'b011 ), .phasestep( phase_step ), .phaseupdown( dir ), .scanclk( wc0 ), & ...
- Создано 17 Апрель 2017
- 12. Динамический сдвиг фазы частоты с PLL
- (Разное)
- ... блок PLL и в нем появляются новые входы и выходы, которых раньше не было. Это входы phasecounterselect[2..0] phaseupdown phasestep scanclk и выход phasedone С помощью этих сигналов ...
- Создано 13 Апрель 2017
- 13. Как симулировать проекты Quartus Prime выполненные в схеме?
- (Разное)
- ... сигнальным линиям. Тактовая частота с PLL подается на 32-х битный счетчик LPM_COUNTER. Это так же альтеровский библиотечный компонент. Некоторые выходы счетчика выведены на светодиоды платы LED[7..0]. ...
- Создано 21 Январь 2017
- 14. Verilog State Machine Framework
- (Проекты Intel Quartus Prime для платы Марсоход3)
- ... нужен еще макрос для присвоения значений в переменную. Это макрос `xset(var,new_value). Теперь мой псевдо язык позволит написать что-то вот такое: `xprogram(64); `xvar(counter,16); `xstep; `xstep; `xset(counter,0); ...
- Создано 24 Октябрь 2015
- 15. Моргаем светодиодами платы Марсоход3
- (Проекты Intel Quartus Prime для платы Марсоход3)
- ... ь и сделать частоту на счетчике выше или ниже. С выхода PLL сигнал идет на счетчик LPM_COUNTER. У самого компонента счетчика много параметров. Правый клик на компоненте и из выпадающего меню выбираем пун ...
- Создано 12 Август 2015
- 16. Обновление для простого SDRAM контроллера
- (Разное)
- ... same_row_and_bank : std_logic := '0'; signal sdram_dq_oe : std_logic := '0'; --output enable signal init_cnt : integer := 0; --initialization counter value signal refresh_cnt : integer ...
- Создано 05 Март 2015
- 17. Текстовый VGA-модуль на VHDL
- (Проекты Altera Quartus II для платы Марсоход2)
- ... begin if rising_edge(pixel_clk) then --counters if (hcnt = 1687) then ...
- Создано 17 Октябрь 2014
- 18. Блог новичка: Hello World
- (Разное)
- ... ty counter is port (key1 : in std_logic; key2 : in std_logic; leds : out unsigned (3 downto 0)); end counter; architecture behavior_counter of counter is begin process (key1, ke ...
- Создано 01 Сентябрь 2014
- 19. Ваш первый проект на Nios II
- (Проекты Altera Quartus II для платы Марсоход2)
- Мне очень нравится проект Марсоход – это сообщество замечательных людей, увлеченных интересной темой. Оно состоит как из опытных разработчиков на ПЛИС, так и из начинающих, как профессиональных ...
- Создано 13 Август 2014
- 20. Прием Ethernet пакета платой Марсоход2
- (Проекты Altera Quartus II для платы Марсоход2)
- ... байте этого 32х битного регистра receiver_reg32. В регистре ncounter ведется подсчет числа принятых тетрад. Нам нужно их считать, так как подсчет контрольной суммы должен начаться только после преамбулы ...
- Создано 23 Декабрь 2013
МАРСОХОД
Open Source Hardware Project
Подробнее...