Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

Altera MAX-II Altera ByteBlaster Yosys Мультиплексор ds18b20 хобби Virtual JTAG Quartus II ПЛИС последовательный порт CPLD синус кормушка С Новым Годом! Уже 2015-й! FPGA ARM core Verilog MIPSfpga MBFTDI Quartus Prime Программное обеспечение winrad dll конденсатор Введение в Verilog Пятый урок модули Verilog Programmer Initial Ram Disk 7-segment снеговик COUNTER GOWIN ПЛИС симулятор Плата Марсоход Verilog HDL Фотографирование SignalTap ZX Spectrum Улучшенный проект Частотомер тестбенч удаленное управление JTAG debug БЛОГ о ПЛИС MAX II Последовательный порт НАЧАЛО

Комментарии

  • USB-JTAG MBFTDI Programming Device

    herbal cialis 21.01.2021 19:45
    herbal [censored]: tadalafili.com/ (https://tadalafili.com/)

    Подробнее...

     
  • Расчет коэффициентов компенсационного FIR фильтра в GNU Octave.

    Clifford 21.01.2021 13:21
    This is my first time go to see at here and i am genuinely pleassant to read all at one place. my ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Dominick 21.01.2021 07:36
    If you wish for to get much from this piece of writing then you have to apply such techniques to your ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Isabell 21.01.2021 06:22
    Keep on working, great job!

    Подробнее...

     
  • Частотомер

    Lieselotte 21.01.2021 06:04
    Hey very cool blog!! Guy .. Beautiful .. Amazing .. I'll bookmark your website and take the feeds ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Результат поиска: найдено 41 объектов.

Совпадение
Ограничение области поиска
1. Язык описания аппаратуры Verilog HDL
(Категория)
... проектов. Мы рекомендуем для функциональной симуляции проектов простое и эффективное средство Icarus Verilog + GtkWave - это компилятор, симулятор и средство просмотра временных диаграмм.  Мы рассказываем, ...
Создано 30 ноября -0001
2. Шифровальная машина Энигма М3 в FPGA
(Проекты Quartus Prime для M02mini)
... e [25*5+4:0] plug_tbl, input wire [7:0]in_char, input wire in_char_write, output wire [7:0]out_char, output reg out_char_ready ); `include "lib.v" wire [4:0]plg1_in; assign plg1_in = (in_ch ...
Создано 27 сентября 2020
3. Симуляция usbhost контроллера
(FPGA & Verilog блог)
... бходимых для симуляции. После компиляции можно запустить симулятор Icarus Verilog: >vvp qqq Получится выходной файл out.vcd, который можно открыть и посмотреть с помощью программы gtkwave. На это ...
Создано 18 марта 2020
4. Реверс инжиниринг микросхемы RGB светодиода WS2812B
(Проекты Altera Quartus II для платы Марсоход)
... #26 clk = ~clk; //capture "in" signal into shift register reg [1:0]r_in = 0; always @( posedge clk ) r_in gtkwave out.vcd На временных диаграммах сигналов можно посмотреть, как происходит передач ...
Создано 31 декабря 2019
5. Verilator
(FPGA & Verilog блог)
... мый файл ./test, который и запускаю. По завершению симуляции вижу новый файл out.vcd. Его можно посмотреть с помощью GtkWave: >gtkwave out.vcd На временных диаграммах сигналов видно все как и положено ...
Создано 26 ноября 2019
6. Управление светодиодной лентой
(Проекты Intel Quartus Prime для платы Марсоход3)
ZoJbefrVJIM Поскольку я делаю новогодний проект "Цветомузыка", то мне нужны для нее цветные управляемые огни / лампы / светодиоды. Тут я вспомнил, что у нас есть светодиодная лента. Сперва нужно научиться ...
Создано 25 декабря 2018
7. Цифровой КИХ фильтр на Verilog для цветомузыки
(Разное)
В предыдущей статье я писал об изготовлении самодельного микрофонного шилда к плате Марсоход3bis. Для чего мне понадобилась такая плата? Мне захотелось сделать "новогодний проект" - "Цветомузыка". Я ...
Создано 24 декабря 2018
8. Разворот бит в шине на Verilog
(Язык описания аппаратуры Verilog HDL)
Казалось бы простая задача: как развернуть биты в шине так, чтоб младший бит стал старшим, а старший самым младшим? Первое, что приходит на ум: написать вот так: reg [7:0]src; //reverse? wire [0:7]re1; ...
Создано 23 сентября 2018
9. КИХ фильтр на Verilog
(Разное)
В этой статье я хочу рассказать о своих экспериментах по созданию простого параметрического цифрового КИХ фильтра на Verilog HDL. До сегодняшнего дня я старался избегать тем цифровой обработки сигналов ...
Создано 25 апреля 2016
10. Простой генератор псевдослучайных чисел
(Проекты Intel Quartus Prime для платы Марсоход3)
Давно ничего не писал в блоге Марсохода - много всякого навалилось, всякие дела, командировка, встречи.. Вот решил восполнить пробел и сделать очень простой проект. Простые проекты ведь тоже нужны, особенно ...
Создано 14 марта 2016
11. Сложности разработки USB хоста для проекта USBTerm.
(Проект графического терминала USBTerm)
...  Компилировать проект икарусом: запустите test_usb.bat. Симуляция - запустите из консоли "vvp qqq". Просмотр получившихся временных диаграмм "gtkwave out.vcd". Покажу некоторые временные диаграммы, котор ...
Создано 23 февраля 2016
12. Видеоадаптер для проекта USBTerm
(Проекты Intel Quartus Prime для платы Марсоход3)
... GtkWave, gitbash. Проект состоит из многих текстовых верилоговских файлов. Я их исправляю в текстовом редакторе notepad++. Он поддерживает много открытых файлов и я могу легко переключаться между ними, ...
Создано 07 декабря 2015
13. Фотографирование: пульт дистанционного управления к Canon EOS 650D
(Проекты Altera Quartus II для платы Марсоход)
... передается.  void Canon::shutterNow() {   for(int i=0; iiverilog -o myout canon_rc6.v testbench.v Теперь симуляции: >vvp myout Получаю файлы временных диаграмм *.vcd и смотрю их в GtkWave: >gtkwave ...
Создано 28 сентября 2015
14. FM радио передатчик из платы Марсоход2
(Проекты Altera Quartus II для платы Марсоход2)
7 мая (25 апреля по старому стилю) 1895 года на заседании Русского физико-химического общества российский физик Александр Степанович Попов выступил с докладом и демонстрацией созданного им первого в мире ...
Создано 06 мая 2013
15. Сумматор с переносом на Verilog HDL
(Язык описания аппаратуры Verilog HDL)
...  tb.v Потом симулируем икарусом: > vvp qqq Получается выходной файл содержащий все сигналы проекта out.vcd. Эти сигналы можно детально рассмотреть в программе GtkWave: Видно, что перенос возникает там  ...
Создано 04 марта 2013
16. Отладка Linux в Amber SoC
(ARM System-on-Chip)
... ивать VCD файл в GTKWave. Дело не очень простое, но если задаться целью, то разобраться можно. Просматривая временные диаграммы сигналов в GTKWave я обратил внимание, что начиная где-то после 74-й микрос ...
Создано 09 декабря 2012
17. Проект-исследование счетчика на DET Flip-Flop
(Проекты Altera Quartus II для платы Марсоход2)
Как известно, все цифровые схемы строятся по общему принципу «машины состояния». Вся схема проекта состоит как-бы из двух частей: регистров, которые хранят текущее состояние системы и комбинационной логики, ...
Создано 17 ноября 2012
18. Симуляция проекта Amber с Icarus Verilog.
(ARM System-on-Chip)
... ие, которое мы выводим функцией printf в нашей программе на C. Кстати, в результате симуляции мы получили файл out.vcd и его можно открыть с помощью программы GtkWave и поисследовать сигналы в процесс ...
Создано 24 сентября 2012
19. Реализация SIN и COS на Verilog
(Язык описания аппаратуры Verilog HDL)
Язык описания аппаратуры Verilog HDL очень часто используется для проектирования аппаратуры с интенсивными математическими вычислениями. Алгоритмы БПФ (Быстрое Преобразование Фурье), ДКП (Дискретное ...
Создано 22 мая 2012
20. Преобразование кода Грея в двоичное число
(Язык описания аппаратуры Verilog HDL)
...  можно с помощью iverilog (Icarus Verilog), используя командную строку и GtkWave. Здесь же я приведу лишь получившуюся временную диаграмму: Все работает правильно. Недостаток описанного выше примера м ...
Создано 12 апреля 2012
  • В начало
  • Назад
  • 1
  • 2
  • 3
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

Altera Quartus II random VHDL механика HDSDR Воспроизведение звука FT2232H АЦП Setup FPGA iverilog Clock Domain Cross Jukebox БИХ FT4232 Новый Quartus Prime v17 Генератор Quartus Prime Эффект Эхо ModelSim COM-порт Icarus Verilog Modelsim RS232 тонкий клиент инструкция Value Change Dump File SignalTap Python Xilinx Verilog LEDs Часики удаленное управление Марсоход3 тестбенч TAP Controller ALTERA цветомузыка ПЛИС Raspberry PI3 Waveforms Step Motor MAX10 Music Управление медиацентром Hardware Freedom Day Cyclone 10LP Цап R2R - нюансы

Комментарии

  • USB-JTAG MBFTDI Programming Device

    herbal cialis 21.01.2021 19:45
    herbal [censored]: tadalafili.com/ (https://tadalafili.com/)

    Подробнее...

     
  • Расчет коэффициентов компенсационного FIR фильтра в GNU Octave.

    Clifford 21.01.2021 13:21
    This is my first time go to see at here and i am genuinely pleassant to read all at one place. my ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Dominick 21.01.2021 07:36
    If you wish for to get much from this piece of writing then you have to apply such techniques to your ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Isabell 21.01.2021 06:22
    Keep on working, great job!

    Подробнее...

     
  • Частотомер

    Lieselotte 21.01.2021 06:04
    Hey very cool blog!! Guy .. Beautiful .. Amazing .. I'll bookmark your website and take the feeds ...

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама