Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
      • Amber ARM SoCAmber ARM SoC
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

Есть домен! MAX II COM port счетчик Пожар в ДатаЦентре ALTERA Quartus Prime сделаем сумматор марсоход2 вычитатель Verilog HDL ByteBlaster Iridium flare VHDL RTL Viewer проектирование ПЛИС декодер плата Марсоход Modelsim D-триггер ПЛИС Virtual JTAG AMBER ARM v2a Amber CPLD Quartus II протокол FTDI Altera текстовый дисплей Марсоход3 редактор Visual Studio Зажигаем ёлку! testbench АЦП Serial Vector Format File косинус arm-none-linux-gnueabi-gcc counter трассировка сигнала Пять в кубе Altera Quartus II инструкция Quartus II усилитель Cyclone III назначения в проекте программатор спектр MINGW

Комментарии

  • Простой генератор псевдослучайных чисел

    Bennett 24.01.2021 04:36
    “A massive-scale pattern iѕ one of tһe finest drapery treatments yօu can do for а window. Ηere is my ...

    Подробнее...

     
  • Простой генератор псевдослучайных чисел

    Pedro 24.01.2021 00:48
    Sky model escorts are seductive, sophisticated аnd they wilⅼ гeally maҝe your vacation memorable.

    Подробнее...

     
  • Спектр сигнала

    ivermectin sale 23.01.2021 23:14
    ivermectin sale: ivermmectin.co/ (http://ivermmectin.co/)

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Marshall 23.01.2021 19:48
    Admiring the time and energy you put into your website and detailed information you present. It's ...

    Подробнее...

     
  • Отрисовка спектра сигнала в программе на Python

    Otto 23.01.2021 18:16
    buying [censored] canada safely female [censored] generic emoforum.org/.../rosieschul ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

ARM System-on-Chip

Результат поиска: найдено 30 объектов.

Совпадение
Ограничение области поиска
1. О переносе FPGA проектов с одной платы на другую
(Проекты для платы Марсоход2bis)
... 33 -to VGA_BLUE[1] set_location_assignment PIN_135 -to VGA_BLUE[0] set_location_assignment PIN_127 -to VGA_HSYNC set_location_assignment PIN_126 -to VGA_VSYNC set_location_assignment PIN_100 -to ADC_D ...
Создано 01 сентября 2019
2. Подборка проектов для платы Марсоход2bis
(Проекты для платы Марсоход2bis)
...   output wire VGA_HSYNC,   output wire VGA_VSYNC,   //ADC1175 interface   input wire [7:0]ADC_D,   output wire ADC_CLK,   //Shield I/O interface   inout wire [15:0]IO,   //SDRAM (MT48LC4M16A2-75) ...
Создано 26 августа 2019
3. Реализация HDMI в ПЛИС
(Проекты Intel Quartus Prime для платы Марсоход3)
... Там же есть ссылки на всю базовую информацию. Далее, вкратце, мое видение данной темы. Так-же как в VGA, сигналы управления Hsync, Vsync и Vdе вырабатывает синхрогенератор (VHgen). А сигналы RGB в ...
Создано 19 августа 2015
4. Текстовый VGA-модуль на VHDL
(Проекты Altera Quartus II для платы Марсоход2)
... – для данных их ПЗУ. В числе выходов присутствуют сигналы величин цветовых компонентов (r, g, b), сигналы вертикальной (vsync) и горизонтальной (hsync) синхронизации, 14-разрядный адрес запрашиваемого ...
Создано 17 октября 2014
5. Графический дизайн или текст Verilog/VHDL?
(Разное)
...      // outputs:     output reg hsync,     output reg vsync,     );          // video signal parameters, default 1440x900 60Hz     parameter horz_front_porch = 80;     parameter horz_sync = 152;     parameter ...
Создано 30 мая 2013
6. Первый проект для платы Марсоход2
(Проекты Altera Quartus II для платы Марсоход2)
...  синхрогенератор. Я написал на Verilog простой модуль hvsync, который выдает сигналы синхронизации VGA_HSYNC, VGA_VSYNC для VGA и собственно видео сигналы красный VGA_R, зеленый VGA_G, синий VGA_B, которы ...
Создано 06 сентября 2012
7. Анонс новой платы
(Разное)
... ( 5-6-5 бит, реализует High-Color Video Mode) + HSync, VSync сигналы. Пользовательские:          кнопки - 2          светодиоды - 4          I/O пины -      10     Питание от разъема USB. ...
Создано 18 июля 2012
8. Хексовый видеоадаптер
(Проекты Altera Quartus II для платы Марсоход)
...  к GND - это земля 13 - горизонтальная синхронизация (HSYNC) - F1 14 - вертикальная синхронизация (VSYNC)    - F2 Для подключения платки к телевизору нужно сделать другой проводочек: Для получени ...
Создано 02 июня 2011
9. Видеоигра Питон
(Проекты Altera Quartus II для платы Марсоход)
... видео R, G и B выходят на разъем платы Марсоход с контактами F3, F4, F5 соответственно. Сигналы синхронизации выходят на контакты F1 (HSYNC) и F0 (VSYNC). Все эти сигналы (и еще "Земля") идут на разъем ...
Создано 04 апреля 2011
10. Тестбенч игры Теннис
(Исходный код)
//Verilog тестбенч игры "теннис для двоих" `timescale 10ns / 1ns module test; reg reset, clk; wire [7:0]char_count; wire [11:0]line_count; wire hsync; wire vsync; wire visible; //это ...
Создано 05 марта 2011
11. Улучшенный модуль генерации синхросигналов VGA
(Исходный код)
...  // inputs: input wire reset, input wire char_clock, // outputs: output reg [7:0]char_count, output reg [11:0]line_count, output reg hsync, output reg vsync, output ...
Создано 20 февраля 2011
12. Тестбенч модуля синхронизации для VGA
(Исходный код)
Создано 20 февраля 2011
13. Работа над ошибками. Теннис.
(Проекты Altera Quartus II для платы Марсоход)
... генераторе микросхемы ПЛИС. Начал разбираться и оказалось, что в модуле hvsync.v есть ошибка - синхроимпульс кадровой VSYNC не совпадает по фронту c синхроимпульсом HSYNC.  А ведь они должны совпадать ...
Создано 20 февраля 2011
14. Исходный текст модуля видео синхронизации HVSYNC на VERILOG
(Исходный код)
...      output reg hsync,     output reg vsync     ); //variables    reg end_of_line; reg end_of_frame; //permanent comb computations: always @* begin     //horizontal processing ...
Создано 25 января 2010
15. Видеоигра "Теннис"
(Проекты Altera Quartus II для платы Марсоход)
... синхронизации HSYNC и VSYNC и собственно сигналы видео «красный» RED, «зеленый» GREEN, «синий» BLUE. Мы соединим вместе одним проводом RED, GREEN и BLUE и получим один «белый» цвет на экране. Таким образом, ...
Создано 12 января 2010
16. Видеоигра "Теннис"
(Комментарии)
Посмотрите по схеме платы (https://marsohod.org/howtostart/plata) и по проекту Quartus: F0 - VSYNC F1 - HSYNC F2 - VIDEO Названия F0, F1, F2 в проекте и на схеме совпадают. Если монитор будет плохо держать ...
Создано 07 февраля 2011
17. Работа над ошибками. Теннис.
(Комментарии)
f0 - vsync f1 - hsync f2 - video В первом проекте описано -https://marsoh od.org/projects /7-videogameten nis ...
Создано 02 сентября 2014
18. Фоторамка. Часть1. Подключение TFT-панелей.
(Комментарии)
Насколько я смог понять из даташита, или "VSYNC и HSYNC", или "DE". Если "VSYNC и HSYNC", то "DE" в "0" Временные соотношения между ними вряд-ли на что-то влияют ...
Создано 06 января 2014
19. Фоторамка. Часть1. Подключение TFT-панелей.
(Комментарии)
... и HSYNC задержка в 10 нс обязательна или можно подавать их одновременно? Спасибо за оперативность, очень благодарен вам. ...
Создано 06 января 2014
20. Фоторамка. Часть2. TFT-панели с LVDS интерфейсом.
(Комментарии)
Можете попробовать все варианты :-)
Создано 22 июня 2017
  • В начало
  • Назад
  • 1
  • 2
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
      • Amber ARM SoCAmber ARM SoC
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

OpenCores Микрофон SDRAM bin counter Модуль приемника USB ARM core icarus плата Марсоход Марсоход3 Altera Verilog HDL 7-segment USB приемник часы WEB интерфейс процессор Verilog Итак марсоход2 трит CPLD Raspberry Amber Гирлянда Altera Quartus II Yosys Нанотехнологии суперкрепление семи-сегментный индикатор Скоро Новый Год! Использование Sigasi Studio MTBF INITRD FTDI iverilog Verilog Gotcha Плата Марсоход для Scratch тестбенч температура FPGA отладка двоичный счетчик лазер Python ЦАП MBFTDI Iridium flare Value Change Dump File дешифратор Quartus II

Комментарии

  • Простой генератор псевдослучайных чисел

    Bennett 24.01.2021 04:36
    “A massive-scale pattern iѕ one of tһe finest drapery treatments yօu can do for а window. Ηere is my ...

    Подробнее...

     
  • Простой генератор псевдослучайных чисел

    Pedro 24.01.2021 00:48
    Sky model escorts are seductive, sophisticated аnd they wilⅼ гeally maҝe your vacation memorable.

    Подробнее...

     
  • Спектр сигнала

    ivermectin sale 23.01.2021 23:14
    ivermectin sale: ivermmectin.co/ (http://ivermmectin.co/)

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Marshall 23.01.2021 19:48
    Admiring the time and energy you put into your website and detailed information you present. It's ...

    Подробнее...

     
  • Отрисовка спектра сигнала в программе на Python

    Otto 23.01.2021 18:16
    buying [censored] canada safely female [censored] generic emoforum.org/.../rosieschul ...

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама