Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

машинка Волшебство ARM v2a Убить MAX Update сайта марсоход Индикатор температуры Тестбенч ИК приемника Verilog синтезатор Quartus Prime ModelSim blake8 САПР Вебинар Введение в Verilog проект Quartus II описание Катамаран луч видеосигнала симуляция MBFTDI instruction set Bitcoin Altera RTLViewer FIFO Телескоп БТА Архыз шаговый двигатель COM-порт бренд Stratix 10 Умный дом Опять 25 USB HOST ПЛИС дешифратор FPGA Почти пустой проект Марсоход core Скоро Новый Год! видеоигра Scratch MAX II Анонс Altera Stratix 10 Cycloen 10GX NIOS II CPLD до-ре-ми Фотографирование

Комментарии

  • Симуляция проекта с помощью Icarus-Verilog

    Aracely 20.01.2021 17:42
    Thanks for sharing such a pleasant thinking, piece of writing is fastidious, thats why i have read ...

    Подробнее...

     
  • Частотомер

    Jefferson 20.01.2021 06:57
    I was wondering if you ever thought of changing the layout of your website? Its very well written; I ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Riley 20.01.2021 02:20
    Spot on with this write-up, I absolutely believe this amazing site needs much more attention. I'll ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Nereida 19.01.2021 20:42
    I do not even know how I ended up here, but I thought this post was great. I do not know who you are ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Lukas 19.01.2021 13:32
    Truly when someone doesn't understand then its up to other viewers that they will help, so here it ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Язык описания аппаратуры Verilog HDL

Результат поиска: найдено 32 объектов.

Совпадение
Ограничение области поиска
1. Реверс инжиниринг микросхемы RGB светодиода WS2812B
(Проекты Altera Quartus II для платы Марсоход)
s7GkTgyDVCc Приближается Новый Год! Мы поздравляем читателей нашего сайта с этим праздником! НО, сегодня нам нужен Новогодний FPGA Проект. У нас уже чего только не было на сайте: и двигалось и светило ...
Создано 31 декабря 2019
2. Создание нового FPGA проекта Intel Quartus Prime с нуля
(Intel Quartus Prime)
... на конкретную плату, в моем случае, на плату Марсоход3. Я должен посмотреть на схему платы и найти откуда и куда идут нужные мне сигналы clk и leds. По схеме платы я нахожу номера выводов микросхемы и ...
Создано 03 ноября 2019
3. Подборка проектов для платы Марсоход2bis
(Проекты для платы Марсоход2bis)
... wire KEY0, //board button with weak pull-up resistor, normally logical ONE   output wire [2:0]LED, //board LEDs   //FTDI serial port signals   input wire FTDI_BD0, //from FTDI, RxD   output wire FTDI_BD1, ...
Создано 26 августа 2019
4. Управление светодиодной лентой
(Проекты Intel Quartus Prime для платы Марсоход3)
... 1ns / 1ps module LED_tape(   input wire clk,   input wire [23:0] RGB,   output reg data,   output wire[15:0]num,   output reg sync,   output reg req ); parameter NUM_LEDS = 8; parameter NUM_RESET_LEDS ...
Создано 25 декабря 2018
5. Первый проект для платы Марсоход2RPI
(Проекты Intel Quartus Prime для Марсоход2RPI)
Для каждой из наших FPGA плат Марсоход, Марсоход2, Марсоход3 мы всегда делали первый проект очень простым.  Это всегда было что-то вроде "моргания светодиодами". Как правило в таком проекте нет ничего ...
Создано 26 ноября 2017
6. Запуск тестовых программ в SoC MIPSfpga на плате Марсоход3
(MIPSfpga в плате Марсоход3)
... nt num_ints = 0; //interrupt handlers ISR(IH_TIMER) { MFP_GREEN_LEDS = num_ints; num_ints++; MFP_RED_LEDS = MFP_RED_LEDS | 0x1; adcStart(); mipsTimerReset(); MFP_RED_L ...
Создано 18 ноября 2017
7. Виртуальные светодиоды и 7-ми сегментный индикатор
(Проекты Intel Quartus Prime для платы Марсоход3)
... информации - такие виртуальные светодиоды и семисегментный индикатор, которые показываются на экране монитора? Я сделал такой проект, его исходники можно взять на github: https://github.com/marsohod4you/hdmi_leds_seg7 ...
Создано 06 ноября 2017
8. Как симулировать проекты Quartus Prime выполненные в схеме?
(Разное)
... сожалению, последний пункт 4) в этом нашем конкретном случае не выполняется гладко. Напротив, я вижу ошибку моделсима: # Loading lpm_ver.lpm_counter # ** Error (suppressible): (vsim-10000) C:/altera/MAX10/max10_leds/max10_leds ...
Создано 21 января 2017
9. Программатор MBFTDI для Altera Quartus Prime, работающего в Ubuntu Linux
(Разное)
... се так же, как и в Windows. Выбираем в SignalTap программатор MBFTDI и можем отслеживать поведение сигналов внутри ПЛИС:  На этом скриншоте отслеживается восьмибитный двоичный счетчик из проекта MAX10_le ...
Создано 18 сентября 2016
10. Простой генератор псевдослучайных чисел
(Проекты Intel Quartus Prime для платы Марсоход3)
Давно ничего не писал в блоге Марсохода - много всякого навалилось, всякие дела, командировка, встречи.. Вот решил восполнить пробел и сделать очень простой проект. Простые проекты ведь тоже нужны, особенно ...
Создано 14 марта 2016
11. Verilog State Machine Framework
(Проекты Intel Quartus Prime для платы Марсоход3)
Рискну предложить почтенной публике мое новейшее "изобретение": VSMF, Verilog State Machine Framework. Я делаю его для одного из наших внутренних проектов. Пока это не полностью завершенная работа, ...
Создано 24 октября 2015
12. Проект скоростной передачи данных в ПЛИС платы Марсоход3
(Проекты Intel Quartus Prime для платы Марсоход3)
... программу, которая будет отправлять данные в ПЛИС через USB2, через микросхему FTDI.   // leds.cpp: определяет точку входа для консольного приложения. // #include "stdafx.h" #include #includ ...
Создано 01 сентября 2015
13. Моргаем светодиодами платы Марсоход3
(Проекты Intel Quartus Prime для платы Марсоход3)
m3leds Самый первый проект для платы Марсоход3 будет, по традиции, очень простой. У нас похожие проекты были и для первой платы Марсоход и для платы Марсоход2. Все, что я хочу сейчас сделать - двоичный ...
Создано 12 августа 2015
14. Brainfuck
(Проекты Altera Quartus II для платы Марсоход2)
Beware of the Turing tar-pit in which everything is possible  but nothing of interest is easy. Alan J. Perlis В 1936 году, за семь лет до создания первого в мире электронного компьютера общего назначения, ...
Создано 21 сентября 2014
15. Блог новичка: Hello World
(Разное)
... er is port (key1 : in std_logic;  key2 : in std_logic;  leds : out unsigned (3 downto 0)); end counter; architecture behavior_counter of counter is begin  process (key1, key2)  variable cnt : inte ...
Создано 01 сентября 2014
16. Ваш первый проект на Nios II
(Проекты Altera Quartus II для платы Марсоход2)
... ве (System ? Assign Base Addresses), а также вывести наружу выходы PIO, нажмем в графе Export  напротив external_connection, назовем их "leds". Получившаяся система имеет следующий вид: Система п ...
Создано 13 августа 2014
17. Скоростная передача данных в плату Марсоход2
(Проекты Altera Quartus II для платы Марсоход2)
... Программирую микросхему FTDI и ее интерфейс MPSSE и в цикле постоянно записываю блоки данных в канал передачи данных. Вот программа: // leds.cpp: определяет точку входа для консольного приложения. // #include ...
Создано 18 мая 2014
18. FTDI2232HL Bitbang mode
(Общая информация)
... основной файл проекта: // leds.cpp: определяет точку входа для консольного приложения. // #include "stdafx.h" #include #include #include "ftd2xx.h" FT_HANDLE ftHandle; // Handle of the FTDI device FT_STAT ...
Создано 10 апреля 2013
19. Посох Деда Мороза
(Проекты Altera Quartus II для платы Марсоход)
... я написал на языке описания аппаратуры Verilog: module effect(   input wire clk,   input wire mclk,   output wire [7:0]leds,   output wire f0,   output wire f1,   output wire f2,   output wire f3, ...
Создано 28 декабря 2012
20. Удаленное управление платой Марсоход через телефон с Android
(Разное)
... t Remote Control #action Switch Marsohod LEDs: ''' def play( id ):   if   (id=='1'):    droid.mediaPlay('/mnt/sdcard/media/audio/s1000.wav')   elif (id=='2'):    droid.mediaPlay('/mnt/sdcard/media/audio/s1200.wav ...
Создано 12 июня 2012
  • В начало
  • Назад
  • 1
  • 2
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

Катамаран Quartus II Волшебство Фазовая модуляция Icarus Verilog синтезатор OpenFPGA testbench Sigasi ПЛИС внутри ПЛИС CPLD Симуляция проекта Теннис Модуль приемника USB Идея сайта Amber ARM core TAP controller FPGA crosstool-ng MBFTDI Altera Введение в Verilog последовательный порт ENDIR LVSD Марсоход3 Обзор меню САПР Quartus Prime Еще про отладку WinDbg инструкция Raspberry Pi4 RTLViewer трассировка сигнала Verilator БИХ измерение синхронное FIFO MIPSfpga WiFi ПЛИС Quartus Prime ИК управление Марсоход2 Эффект Эхо БЛОГ о ПЛИС Микрофон Verilog MIPSopen Marsohod2 Cyclone III Altera RTLViewer

Комментарии

  • Симуляция проекта с помощью Icarus-Verilog

    Aracely 20.01.2021 17:42
    Thanks for sharing such a pleasant thinking, piece of writing is fastidious, thats why i have read ...

    Подробнее...

     
  • Частотомер

    Jefferson 20.01.2021 06:57
    I was wondering if you ever thought of changing the layout of your website? Its very well written; I ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Riley 20.01.2021 02:20
    Spot on with this write-up, I absolutely believe this amazing site needs much more attention. I'll ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Nereida 19.01.2021 20:42
    I do not even know how I ended up here, but I thought this post was great. I do not know who you are ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Lukas 19.01.2021 13:32
    Truly when someone doesn't understand then its up to other viewers that they will help, so here it ...

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама