Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
      • Amber ARM SoCAmber ARM SoC
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

Двигатели Марсоход2 и Марсоход3 Симуляция проекта Теннис FPGA New Year Tree контроллер памяти Симулятор ModelSim Verilog HDL Waveforms робот MAX II synchronizer Altera Verilog SDRAM цифровая логика MAX10 iverilog шаговый двигатель Delta-Sigma DAC сенсор time-to-digital MPSSE плата Марсоход температура машина состояний Winrad последовательный порт Star Track Altera CPLD UFM module тестбенч терминал микроконтроллер взято с хабра ПЛИС Марсоход2RPI micron Plastic Optical Fiber симуляция testbench Волк-Коза-Капуста первый вариант компилятор C VHDL ByteBlaster игрушка Cyclone III Марсоход3 windbg Lattice

Комментарии

  • Симуляция SDRAM контроллера в ModelSim

    Karolyn 16.08.2022 20:44
    Премного благодарю ! Заглядываете и также на мой портал : ) Застекленный балкон цены ...

    Подробнее...

     
  • Симулятор ModelSim

    Deanna 16.08.2022 07:07
    Incredible points. Sound arguments. Keep up the gokod effort. my blog pot ege haber: postaizmir.com/ ...

    Подробнее...

     
  • Почти "пустой проект"

    Standlenda 15.08.2022 18:11
    Вот это я четко попала, перед взором плывут 5 лет учебы на ФизФаке))) yarshopcolor.ru/.../...

    Подробнее...

     
  • Простейший интерпретатор Forth в плате M02mini

    Zelma 15.08.2022 03:55
    site: tinyurl.com/2ztvu9h8 (https://tinyurl.com/2ztvu9h8)

    Подробнее...

     
  • Симулятор ModelSim

    Cameron 14.08.2022 07:11
    Hi I amm so glad I found your webpage, I really found you bby error, while I was browsing on Aol for ...

    Подробнее...

На форуме

    • file
    • Inside the Plan to Resurrect Australia's Extinct T...
    • в Наш форум / Вопросы о программаторе MBFTDI
    • от kafa88
    • 4 ч. 1 мин. назад
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Проекты Altera Quartus II для платы Марсоход2

Результат поиска: найдено 18 объектов.

Совпадение
Ограничение области поиска
1. Проекты Intel Quartus Prime для Марсоход2RPI
(Категория)
Плата Марсоход2RPI подключается к микрокомпьютерам Raspberry Pi2 /  Pi3 / Pi-Zero, а может быть даже и к OrangePI с помощью специального переходника-соединителя. Плата Марсоход2RPI позволяет расширить ...
Создано 26 ноября 2017
2. Работа платы Марсоход2RPI с Raspberry Pi4 ...
(FPGA & Verilog блог)
Раздобыли плату Raspberry Pi4 и попробовали подключать к ней нашу FPGA плату Марсоход2RPI. В принципе все работает, но JTAG сервер пришлось слегка модифицировать. Все из-за того, что базовый адрес периферии ...
Создано 22 декабря 2019
3. Загрузка FPGA платы Марсоход2RPI из Raspberry Pi3 с помощью OpenOCD ...
(Разное)
... я расскажу, как можно загрузить ПЛИС платы Марсоход2RPI из микрокомпьютера Raspberry Pi3 с помощью OpenOCD. Итак, плата Марсоход2RPI соединена с Raspberry Pi3. Смотрим схему нашей платы Марсоход2RPI: ...
Создано 25 ноября 2017
4. Загрузка ПЛИС из Raspberry PI3 ...
(Разное)
Раздобыл себе плату Raspberry PI3 для опытов. Подключил плату Марсоход3bis к Raspberry USB кабелем. Первая мысль, которая пришла в голову: "Можно ли из Linux на raspberry pi3 загрузить проект в ПЛИС ...
Создано 08 сентября 2016
5. Открыты исходники драйвера JTAG программатора MBFTDI
(FPGA & Verilog блог)
... Кроме этого, MBFTDI программатор существует как самостоятельная плата. Исходники так же включают в себя специальный проект сетевого программатора для плат Raspberry в связке с FPGA платой Марсоход2RPI. ...
Создано 07 января 2022
6. libjtag для программирования Марсоход2RPI через сеть из Quartus под Ubuntu
(FPGA & Verilog блог)
Теперь программирование нашей FPGA платы Марсоход2RPI, работающей в связке с платой Raspberry, по сети из Quartus стало возможным и из Ubuntu Linux! Что для этого нужно? Первое, скачать сервер ...
Создано 29 марта 2020
7. Передача данных из Raspbbery Pi3 в FPGA платы Марсоход2RPI
(Проекты Intel Quartus Prime для Марсоход2RPI)
В первом проекте для платы M2RPI мы передавали данные в FPGA и обратно используя выводы Raspberry GPIO14 и GPIO15 как линии последовательного порта TxD и RxD. Как быть, если нужно передавать больший ...
Создано 17 апреля 2018
8. Программирование ПЛИС платы M2RPI по сети из Quartus
(Разное)
htB33DUGW-U Программирование ПЛИС платы M2RPI, подключенной к Raspberry теперь возможно удаленно по сети прямо из среды Intel Quartus Prime. В этом демонстрационном видео показывается, как это можно ...
Создано 12 марта 2018
9. Первый проект для платы Марсоход2RPI
(Проекты Intel Quartus Prime для Марсоход2RPI)
... разъем, ведущего к Raspberry Pi3: module m2rpi(   input wire OSC,   input wire [2:0]KEY,   output wire [3:0]LED,   inout wire [27:0]GPIO_A,   inout wire [27:0]GPIO_B,   //Raspberry GPIO pins   ...
Создано 26 ноября 2017
10. Анонс новой платы: подключаем FPGA к Raspbery Pi
(Разное)
... к Raspbery, на котором можно использовать 24 или 20 GPIO (в зависимости от того, установлен чип EPCS4 или нет). Мы тестировали нашу плату с Raspberry Pi3 и Raspberry Pi2B. Нашу плату можно подключить ...
Создано 21 ноября 2017
11. FM радио передатчик из платы Марсоход2
(Проекты Altera Quartus II для платы Марсоход2)
... статью на хабре: "Радиопередатчик из Raspberry Pi и куска провода". В этой статье была ссылка на страницу проекта и даже был какой-то исходный код на языке C, реализующий алгоритм передачи радиосигнала ...
Создано 06 мая 2013
12. Управлять Марсоходом из браузера
(Комментарии)
Хм... управление звуковым сигналом это конешно круто, но вот raspberry pi намного практичней будет, т.к. там полноценный линукс, а следовательно и питон плюс к этому там 40 портов GPIO, и плат расширения, ...
Создано 24 июля 2015
13. Загрузка ПЛИС из Raspberry PI3 ...
(Комментарии)
Если в реальном устройстве, использующем ПЛИС, будет SoC хотя бы такая же мощная как в Raspberry Pi, то может иметь смысл (даже просто из-за лени) общаться с отдельными узлами по USB - софт уже написан, ...
Создано 12 сентября 2016
14. Анонс новой платы: подключаем FPGA к Raspbery Pi
(Комментарии)
Добра! На какие ноги необходимо подавать питание (какое?) и землю для использования данного решения отдельно от Raspberry Pi? А например, с BeagleBone Blаck??? Благодарю заранее... Игорь. ...
Создано 18 февраля 2019
15. Анонс новой платы: подключаем FPGA к Raspbery Pi
(Комментарии)
Приветствую. Благодарю за проект! Где могу получить исходники jtag-сервера под Raspberry. Необходимо пересобрать под другую версию линукс сборки. С уважением, Игорь. ...
Создано 18 февраля 2019
16. Загрузка FPGA платы Марсоход2RPI из Raspberry Pi3 с помощью OpenOCD ...
(Комментарии)
> На момент написания статьи распбери поставил мне openocd версии 0.8.0. А вот если явно указать, какая у вас ОС установлена на Raspberry Pi3 "на момент написания статьи", то изложение станет яснее. ...
Создано 21 января 2018
17. Первый проект для платы Марсоход2RPI
(Комментарии)
...  RaspberryPi 2 model B. Помогите разобраться в чем дело ...
Создано 17 апреля 2019
18. Программирование ПЛИС платы M2RPI по сети из Quartus
(Комментарии)
Будет ли новая версия nw_jtag_srv для Raspberry Pi 4, или можно поиметь его исходник, для самостоятельной сборки под Raspberry Pi 4? ...
Создано 18 ноября 2019

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
      • Amber ARM SoCAmber ARM SoC
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

Xilinx testbench SVFPlayer Осторожненько Простая USB функция Тестбенч приемника USB Новый Quartus II v 14 Небо в феврале фаза DETFF FPGA ИК приемник процессор condition execution Марсоход3 Вебинар ПЛИС Altera Quartus II Второй урок Иерархия проекта Verilog Quartus II ИК интерфейс апроксимация многочленом MAX II Новый третий релиз платы Марсоход троичная логика система на кристалле АМ амплитудная модуляция ЛУТ WS2812B модули Verilog FTDI поведенческие блоки АЦП марсоход2 Altera channel B проект ПЛИС внутри ПЛИС Altera RTLViewer SL4A Marsohod3 28BYJ-48 Verilog HDL Stratix 10 WiFi

Комментарии

  • Симуляция SDRAM контроллера в ModelSim

    Karolyn 16.08.2022 20:44
    Премного благодарю ! Заглядываете и также на мой портал : ) Застекленный балкон цены ...

    Подробнее...

     
  • Симулятор ModelSim

    Deanna 16.08.2022 07:07
    Incredible points. Sound arguments. Keep up the gokod effort. my blog pot ege haber: postaizmir.com/ ...

    Подробнее...

     
  • Почти "пустой проект"

    Standlenda 15.08.2022 18:11
    Вот это я четко попала, перед взором плывут 5 лет учебы на ФизФаке))) yarshopcolor.ru/.../...

    Подробнее...

     
  • Простейший интерпретатор Forth в плате M02mini

    Zelma 15.08.2022 03:55
    site: tinyurl.com/2ztvu9h8 (https://tinyurl.com/2ztvu9h8)

    Подробнее...

     
  • Симулятор ModelSim

    Cameron 14.08.2022 07:11
    Hi I amm so glad I found your webpage, I really found you bby error, while I was browsing on Aol for ...

    Подробнее...

На форуме

    • file
    • Inside the Plan to Resurrect Australia's Extinct T...
    • в Наш форум / Вопросы о программаторе MBFTDI
    • от kafa88
    • 4 ч. 1 мин. назад

Реклама