Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

Ubuntu Quartus II project MBFTDI Программатор Icarus Verilog MIPSfpga Altera USB протокол Quartus II 7-segment Yosys ПЛИС Altera синтезатор Iridium flare Сделаем PS 2 клавиатуру! time-to-digital FTDI2232HL Bitbang mode условное исполнение UsbBlaster Эффект Эхо ffmpeg FT2232 система на кристалле winrad FPGA новогодняя елка verilog Altera RTLViewer Светодиодная реклама 3D дисплей Game ModelSim ИК пульт инжектор шаговый двигатель Stratix 10 Последовательный порт Verilog на русском Игра Жизнь внутри ПЛИС преобразователь уровней Intel Timer последовательный порт АЦП FTDI ИК приемник GtkWave latch display

Комментарии

  • Симулятор ModelSim

    Evelyn 21.05.2022 08:15
    Hi there! I just wanted to ask if you ever have any issues with hackers? My last blog (wordpress) was ...

    Подробнее...

     
  • Пошаговая инструкция: создаем проект Quartus II

    Rochell 21.05.2022 08:05
    I deprivation to interpret Sir Thomas More things almost it! My website - 스포츠토토 - 1stburst.com ...

    Подробнее...

     
  • Архитектура ПЛИС (FPGA)

    Lachlan 21.05.2022 08:01
    Do you mind if I quote a couple of your articles as long as I provide credit and sources back to your ...

    Подробнее...

     
  • AMD поглощает компанию Xilinx

    Milo 21.05.2022 07:41
    Hey! Do you bang if they reach any plugins to protect against hackers? I’m rather paranoid well-nigh ...

    Подробнее...

     
  • Графический дизайн в Quartus II v10.1 для начинающих.

    Trisha 21.05.2022 07:35
    I truly appreciate this send. I’ve been looking all terminated for this! Thank goodness I ground it on ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Язык описания аппаратуры Verilog HDL

Результат поиска: найдено 79 объектов.

Совпадение
Ограничение области поиска
1. ARM System-on-Chip
(Категория)
... v2a, последовательный порт, таймер, контроллер прерываний, контроллер памяти SDRAM, возможно, что-то еще.  ...
Создано 30 ноября -0001
2. Обновление для простого SDRAM контроллера ...
(Разное)
... небольшое обновление простого контроллера SDRAM. Основным недостатком существующей версии этого контроллера является отсутствие поддержки автоматической регенерации ячеек памяти – это незаметно, если регулярно ...
Создано 05 марта 2015
3. Симуляция SDRAM контроллера в ModelSim ...
(Разное)
Попробую просимулировать SDRAM контроллер из предыдущей статьи mindango с помощью симулятора ModelSim Altera. Действительно у ModelSim Altera есть ряд ограничений, очень важное ограничение - это отсутствие ...
Создано 16 ноября 2014
4. Простейший SDRAM-контроллер на VHDL ...
(Разное)
... Марсоход2 установлена микросхема динамического ОЗУ (SDRAM) ёмкостью 8 Мбайт. К сожалению, по сравнению со статической памятью ПЛИС управлять этой микросхемой сложнее: требуется специальный контроллер, ...
Создано 13 ноября 2014
5. Поключение SDRAM к системе на кристалле Amber ...
(ARM System-on-Chip)
Вообще-то оригинальный проект Amber уже имел встроенный контроллер DDR. Я же его за ненадобностью выбросил, потому, что на нашей плате Марсоход2 стоит не DDR память, а SDRAM. Память SDRAM, конечно, проще, ...
Создано 08 октября 2012
6. Тест SDRAM или "Фреймбуффер2" ...
(Проекты Altera Quartus II для платы Марсоход2)
... динамическое ОЗУ (SDR SDRAM MT48LC4M16A2). Описание микросхемы памяти: . Оно имеет объем в общей сложности 8 Мбайт. За основу мы взяли проект "Фреймбуффер" для первой платы Марсоход, в котором уже ...
Создано 13 сентября 2012
7. RISC-V для платы Марсоход3bis
(Изучаем RISC-V)
... тном индикаторе. PS: есть еще один нюанс с назначением сигналов на плате Марсоход3bis. Прежде всего, хочу сказать, что проект RISC-V будет работать в платах Марсоход3 и Марсоход3bis даже без микросхемы  ...
Создано 29 апреля 2022
8. Компиляция bootloader для системы Syntacore RISC-V Scr1
(Изучаем RISC-V)
... у меня другой объем памяти SDRAM на плате. Естественно программа загрузчика должна быть изменена соответствующим образом. Резонный вопрос: "что нужно изменить в программе загрузчика?". В САПР Intel Quartus ...
Создано 17 февраля 2022
9. Портирование RISC-V системы на плату Марсоход3
(Изучаем RISC-V)
... выполнен в среде Quartus Prime Standard Edition v17.1. У меня стоит версия Lite версии 20.1 и, к сожалению, в новых версиях квартуса отсутствует поддержка контроллера микросхем SDRAM. Мы на нашем сайте ...
Создано 02 февраля 2022
10. Симуляция системы на кристале Amber ARM v2a SoC с помощью Verilator
(ARM System-on-Chip)
... сложности получаются с моделью памяти Micron. Там есть несколько мест в коде модели памяти SDRAM Micron, на которые Verilator либо дает ошибку либо неправильно интерпретирует. Например, вот этот участок ...
Создано 06 декабря 2019
11. О переносе FPGA проектов с одной платы на другую
(Проекты для платы Марсоход2bis)
... nt PIN_23 -to KEY0 set_location_assignment PIN_30 -to SDRAM_DQ[15] set_location_assignment PIN_31 -to SDRAM_DQ[14] set_location_assignment PIN_32 -to SDRAM_DQ[13] set_location_assignment PIN_33 -to SDRAM_DQ[ ...
Создано 01 сентября 2019
12. Подборка проектов для платы Марсоход2bis
(Проекты для платы Марсоход2bis)
...   output wire VGA_HSYNC,   output wire VGA_VSYNC,   //ADC1175 interface   input wire [7:0]ADC_D,   output wire ADC_CLK,   //Shield I/O interface   inout wire [15:0]IO,   //SDRAM (MT48LC4M16A2-75) ...
Создано 26 августа 2019
13. Запуск тестовых программ в SoC MIPSfpga на плате Марсоход3
(MIPSfpga в плате Марсоход3)
... e #define SIMULATION 0 #define SDRAM_64M 64 #define SDRAM_8M 8 // -------- config start ------------ //count of HEX segments on board #define HEX_SEGMENT_COUNT 6 #define MEMTYPE SDRAM_ ...
Создано 18 ноября 2017
14. Проект MIPSfpga
(MIPSfpga в плате Марсоход3)
...  и SDRAM [L5] MIPSfpga и прерывания [L6] MIPSfpga и UART [L7] Текущая активность вокруг MIPSfpga и не только [L8] Поднимаем Linux на MIPSfpga и ПЛИС Altera [L9] Портирование MIPSfpga на другие платы и интеграци ...
Создано 07 ноября 2017
15. Как работает видеоадаптер проекта USBTerm
(Проект графического терминала USBTerm)
Хочу поподробнее рассказать, как работает видеодаптер, используемый в проекте USBTerm. Мы уже не раз писали про всякие видеоконтроллеры на нашем сайте, вот хотя бы некоторые из статей:  Тест SDRAM ...
Создано 21 января 2016
16. Новогодние слайды на плате Марсоход3bis
(Проекты Intel Quartus Prime для платы Марсоход3)
... картинки в плату и отображать их на мониторе, подключенном к плате. Оно у меня так еще неделю назад работало, но как-то не очень стабильно, да и очень сложно тогда получилось. Контроллер SDRAM, который ...
Создано 23 декабря 2015
17. Видеоадаптер для проекта USBTerm
(Проекты Intel Quartus Prime для платы Марсоход3)
Вот поделюсь первыми результаты по проекту USBTerm. Проект в плате Марсоход3bis стартует, программируется SDRAM, во фреймбуффер экрана записываются несколько вертикальных полос, включается видео развертка, ...
Создано 07 декабря 2015
18. Плата Марсоход3
(Общая информация)
... стоят: Микросхема SDRAM MT48LC4M16A2-75 компании Micron.  Четыре банка по 1,048,576 шестнадцатиразрядных слова. Итого, 64Мбита (или 8Мбайт, что то же самое). Кварцевый генератор 100Мгц. Две ...
Создано 10 августа 2015
19. Плата Марсоход2bis, FPGA Cyclone IV
(Разное)
... с помощью программы Altera SignalTap. Интерфейс В может использоваться для как виртуальный последовательный порт, скорость передачи до 12Мбит/сек. Микросхема SDRAM MT48LC4M16A2-75 компании Micron. Четыре ...
Создано 05 января 2015
20. ZX Spectrum
(Проекты Altera Quartus II для платы Марсоход2)
...  ОЗУ. На плате Марсоход2 установлена памяти типа SDRAM, что несколько осложняет задачу портирования, и именно решению этих трудностей будет посвящена вторая часть настоящей статьи. Из предыдущего материала ...
Создано 05 декабря 2014
  • В начало
  • Назад
  • 1
  • 2
  • 3
  • 4
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

Мультиплексор Анонс Altera Stratix 10 miner Icarus Сделаем САМИ простое непростое USB устройство сигнал carry Marsohod3 Quartus Prime небо CZN-15E микроконтроллер Python видеоадаптер USBTerm Индикатор температуры Altera Verilog Система на кристалле ПЛИС MINGW LEDs 1 для начинающих Quartus II тестбенч Altera RTLViewer Двигатели ALTERA САПР debug VHDL Google voice recognition API Syntacore Пожар в ДатаЦентре Yosys Артефакты разработчика Verilog HDL Анонс новой платы Quartus crosstool-ng Marsohod2 видеоигра ПИ-Гаджет Сделаем PS 2 клавиатуру! Юбилей Да! Cyclone III MIPSfpga

Комментарии

  • Симулятор ModelSim

    Evelyn 21.05.2022 08:15
    Hi there! I just wanted to ask if you ever have any issues with hackers? My last blog (wordpress) was ...

    Подробнее...

     
  • Пошаговая инструкция: создаем проект Quartus II

    Rochell 21.05.2022 08:05
    I deprivation to interpret Sir Thomas More things almost it! My website - 스포츠토토 - 1stburst.com ...

    Подробнее...

     
  • Архитектура ПЛИС (FPGA)

    Lachlan 21.05.2022 08:01
    Do you mind if I quote a couple of your articles as long as I provide credit and sources back to your ...

    Подробнее...

     
  • AMD поглощает компанию Xilinx

    Milo 21.05.2022 07:41
    Hey! Do you bang if they reach any plugins to protect against hackers? I’m rather paranoid well-nigh ...

    Подробнее...

     
  • Графический дизайн в Quartus II v10.1 для начинающих.

    Trisha 21.05.2022 07:35
    I truly appreciate this send. I’ve been looking all terminated for this! Thank goodness I ground it on ...

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама