Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

MBFTDI модуляция verilog LEDs Verilog VT100 модуль на Verilog CZN-15E bugfix SL4A Сериалфлэшлоадер Microsoft поразительно машинка FTDI VHDL System Verilog SignalTap амплитудная модуляция сигнал carry Terasic апроксимация многочленом CPLD Verilog примеры 1 для начинающих Altera Quartus II синхронная логика синтезатор Телескоп JTAG FIFO Марс3 ПЛИС M02mini Индикатор температуры игра Verilog State Machine Framework Эмоции Hardware Freedom Day ALTERA шаговый двигатель Marsohod2RPI SDRAM последовательный порт Пять в кубе Altera TCL Script VSMF QUARTUS II Decoder

Комментарии

  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Jada 16.01.2021 03:14
    Hey very nice blog!

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Nelly 15.01.2021 17:31
    When some one searches for his necessary thing, thus he/she wishes to be available that in detail ...

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 11:51
    Так. Проблема во мне. Я не той стороной вставил плату. Можно удалить мой предыдущий коммент.

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 08:56
    Я короче, купил эту плату со встроенным чипом памяти. Включил. И тут чип памяти, походу, короткое ...

    Подробнее...

     
  • Симуляция проектов в Quartus Prime v20.1 через редактор Waveform

    topazaykv 12.12.2020 09:21
    Спасибо большое! Такую же ошибку словил)

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Язык описания аппаратуры Verilog HDL

Результат поиска: найдено 33 объектов.

Совпадение
Ограничение области поиска
1. Исходный текст модуля видео синхронизации HVSYNC на VERILOG  ...
(Исходный код)
/////////////////////////////////////////////////////////////// //module which generates video sync impulses /////////////////////////////////////////////////////////////// module hvsync ( ...
Создано 25 января 2010
2. О переносе FPGA проектов с одной платы на другую
(Проекты для платы Марсоход2bis)
... 33 -to VGA_BLUE[1] set_location_assignment PIN_135 -to VGA_BLUE[0] set_location_assignment PIN_127 -to VGA_HSYNC set_location_assignment PIN_126 -to VGA_VSYNC set_location_assignment PIN_100 -to ADC_D ...
Создано 01 сентября 2019
3. Подборка проектов для платы Марсоход2bis
(Проекты для платы Марсоход2bis)
...   output wire VGA_HSYNC,   output wire VGA_VSYNC,   //ADC1175 interface   input wire [7:0]ADC_D,   output wire ADC_CLK,   //Shield I/O interface   inout wire [15:0]IO,   //SDRAM (MT48LC4M16A2-75) ...
Создано 26 августа 2019
4. Виртуальные светодиоды и 7-ми сегментный индикатор
(Проекты Intel Quartus Prime для платы Марсоход3)
 X0dwqFWkOjI Когда человек начинает осваивать какой нибудь микроконтроллер или ПЛИС, то первый проект, который он делает - это моргание светодиодом. На нашем сайте есть примеры таких простых проектов ...
Создано 06 ноября 2017
5. Реализация HDMI в ПЛИС
(Проекты Intel Quartus Prime для платы Марсоход3)
... Там же есть ссылки на всю базовую информацию. Далее, вкратце, мое видение данной темы. Так-же как в VGA, сигналы управления Hsync, Vsync и Vdе вырабатывает синхрогенератор (VHgen). А сигналы RGB в ...
Создано 19 августа 2015
6. Текстовый VGA-модуль на VHDL
(Проекты Altera Quartus II для платы Марсоход2)
... – для данных их ПЗУ. В числе выходов присутствуют сигналы величин цветовых компонентов (r, g, b), сигналы вертикальной (vsync) и горизонтальной (hsync) синхронизации, 14-разрядный адрес запрашиваемого ...
Создано 17 октября 2014
7. Графический дизайн или текст Verilog/VHDL?
(Разное)
... легко использовать в других проектах, но в другой конфигурации. Например, можно однажды описать Verilog модуль для синхрогенератора видеосигнала: module hvsync (     // inputs:     input wire pixel_clock, ...
Создано 30 мая 2013
8. Первый проект для платы Марсоход2
(Проекты Altera Quartus II для платы Марсоход2)
...  синхрогенератор. Я написал на Verilog простой модуль hvsync, который выдает сигналы синхронизации VGA_HSYNC, VGA_VSYNC для VGA и собственно видео сигналы красный VGA_R, зеленый VGA_G, синий VGA_B, которы ...
Создано 06 сентября 2012
9. Анонс новой платы
(Разное)
... ( 5-6-5 бит, реализует High-Color Video Mode) + HSync, VSync сигналы. Пользовательские:          кнопки - 2          светодиоды - 4          I/O пины -      10     Питание от разъема USB. ...
Создано 18 июля 2012
10. Хексовый видеоадаптер
(Проекты Altera Quartus II для платы Марсоход)
...  к GND - это земля 13 - горизонтальная синхронизация (HSYNC) - F1 14 - вертикальная синхронизация (VSYNC)    - F2 Для подключения платки к телевизору нужно сделать другой проводочек: Для получени ...
Создано 02 июня 2011
11. Видеоигра Питон
(Проекты Altera Quartus II для платы Марсоход)
... видео R, G и B выходят на разъем платы Марсоход с контактами F3, F4, F5 соответственно. Сигналы синхронизации выходят на контакты F1 (HSYNC) и F0 (VSYNC). Все эти сигналы (и еще "Земля") идут на разъем ...
Создано 04 апреля 2011
12. Симуляция проекта Теннис
(Разное)
... бы он мне в текстовом виде "рисовал" каждый следующий экранчик видео игры. В тестбенче я создаю экземпляры модуля видео синхронизации hvsync.v и модуля игры game.v. Анализируя их выходные сигналы я вывожу ...
Создано 05 марта 2011
13. Тестбенч игры Теннис
(Исходный код)
//Verilog тестбенч игры "теннис для двоих" `timescale 10ns / 1ns module test; reg reset, clk; wire [7:0]char_count; wire [11:0]line_count; wire hsync; wire vsync; wire visible; //это ...
Создано 05 марта 2011
14. Улучшенный модуль генерации синхросигналов VGA
(Исходный код)
/////////////////////////////////////////////////////////////// //module which generates video sync impulses /////////////////////////////////////////////////////////////// module hvsync (  ...
Создано 20 февраля 2011
15. Тестбенч модуля синхронизации для VGA
(Исходный код)
Создано 20 февраля 2011
16. Работа над ошибками. Теннис.
(Проекты Altera Quartus II для платы Марсоход)
... генераторе микросхемы ПЛИС. Начал разбираться и оказалось, что в модуле hvsync.v есть ошибка - синхроимпульс кадровой VSYNC не совпадает по фронту c синхроимпульсом HSYNC.  А ведь они должны совпадать ...
Создано 20 февраля 2011
17. Видеоигра "Теннис"
(Проекты Altera Quartus II для платы Марсоход)
... синхронизации HSYNC и VSYNC и собственно сигналы видео «красный» RED, «зеленый» GREEN, «синий» BLUE. Мы соединим вместе одним проводом RED, GREEN и BLUE и получим один «белый» цвет на экране. Таким образом, ...
Создано 12 января 2010
18. Видеоигра "Теннис"
(Комментарии)
Посмотрите по схеме платы (https://marsohod.org/howtostart/plata) и по проекту Quartus: F0 - VSYNC F1 - HSYNC F2 - VIDEO Названия F0, F1, F2 в проекте и на схеме совпадают. Если монитор будет плохо держать ...
Создано 07 февраля 2011
19. Работа над ошибками. Теннис.
(Комментарии)
f0 - vsync f1 - hsync f2 - video В первом проекте описано -https://marsoh od.org/projects /7-videogameten nis ...
Создано 02 сентября 2014
20. Работа над ошибками. Теннис.
(Комментарии)
Классная вещь! Пробовал еще первую версию тетриса загрузить в Xilinx'овскую плату, вроде загрузилась без особых проблем, только два файла совместил game и hvsync.. Только загрузиться то загрузилась, а ...
Создано 12 мая 2012
  • В начало
  • Назад
  • 1
  • 2
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

Blockchain контроллер памяти Новый третий релиз платы Марсоход Открываем форум! MAX10 FPGA Quartus II Icarus FTDI ядра ОС Windows 2232H патч Linux Введение в Verilog iverilog display сделаем сумматор River Raid колебательный контур Altera memtest датчик MAX II Icarus Verilog спектр Cyclone III GPIO синус Android Python лабиринт ПЛИС bugfix USB Tracker Счетчик кода Грея Gray counter дальномер игра Змейка Новогодняя елка 2017! Дэвид М Харрис и Сара Л Харрис Life Game Триггер VHDL ДНК Итак Питание платы Марсоход

Комментарии

  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Jada 16.01.2021 03:14
    Hey very nice blog!

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Nelly 15.01.2021 17:31
    When some one searches for his necessary thing, thus he/she wishes to be available that in detail ...

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 11:51
    Так. Проблема во мне. Я не той стороной вставил плату. Можно удалить мой предыдущий коммент.

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 08:56
    Я короче, купил эту плату со встроенным чипом памяти. Включил. И тут чип памяти, походу, короткое ...

    Подробнее...

     
  • Симуляция проектов в Quartus Prime v20.1 через редактор Waveform

    topazaykv 12.12.2020 09:21
    Спасибо большое! Такую же ошибку словил)

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама