Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

Raspberry Quartus II Часть3 Регистровая логика симуляция Icarus Verilog синус тестбенч Марсоход2bis Реализация HDMI в ПЛИС Verilog MAX10 Virtual JTAG марсоход USB host ИК приемник ПЛИС Python преобразователь уровня Синхронная логика Симулятор в Quartus v13 Цветомузыка в FPGA MAX II 1 для начинающих Немного самолетов программатор Serial Port SignalTap кросс-компилятор программатор MBFTDI GPIO UsbBlaster always плата Марсоход С Новым Годом! Уже 2015-й! D-триггер ядра ОС Windows Spectra-Q IR control проект Quartus II Amber Altera ARM core Плата Марсоход3 инструкция Quartus II Full-step mbftdi ИК пульт к компьютеру ДНК пульт ДУ счетчик грея

Комментарии

  • Плата MA3128 управляемая из Raspberry

    nckm 18.01.2023 12:45
    Делаем плату с GOWIN, но будет не очень скоро. Месяц-два.

    Подробнее...

     
  • Плата MA3128 управляемая из Raspberry

    Konstantin Ch. 17.01.2023 13:29
    Спасибо за материал, скажите а планируется ли создание плат/ написание статей с чипами GOWIN?

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Анатолий 04.01.2023 10:34
    Если в схему добавить параллельно реальный D-триггер и в тестовые воздействия добавить переключения D-входа ...

    Подробнее...

     
  • Скачиваем САПР Intel Quartus Prime

    Farouk Smith 10.12.2022 05:35
    Intelcore@

    Подробнее...

     
  • Загрузка Quartus Web/Prime

    Григорий 27.11.2022 06:48
    Всё, разобрался сам. Установил 13.0 с дополнением max_web-13.0.1. 232.qdz , там есть поддержка МАХ7000.

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Марсоход
    • Проекты
  • Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Марсоход3
    • Проекты
    • MIPSfpga
  • M02mini
    • Проекты
  • MA3128

Результат поиска: найдено 62 объектов.

Совпадение
Ограничение области поиска
1. Язык описания аппаратуры Verilog HDL ...
(Категория)
Verilog HDL (Hardware Description Language) - это язык текстового описания аппаратуры. Он используется для проектирования, моделирования, верификации цифровых микросхем (смотри Википедию), плат и систем. ...
Создано 30 ноября -0001
2. Исходный код
(Категория)
Некоторые статьи ссылаются на исходный код. Это могут быть программы на Verilog HDL, C/C++, Python и прочие. Этот раздел представляет несколько избранных исходных текстов.  ...
Создано 30 ноября -0001
3. FPGA & Verilog блог
(Категория)
FPGA & Verilog Блог САПР Altera Quartus II / Intel Quartus Prime, язык Verilog HDL и кое-что про VHDL, FPGA Cyclone III, Cyclone IV, MAX II, MAX10. Платы разработчика серии Марсоход, Марсоход2, Марсоход3. ...
Создано 21 декабря 2014
4. Сумматор с переносом на Verilog HDL ...
(Язык описания аппаратуры Verilog HDL)
Как на языке Verilog HDL реализовать сумматор или вычитатель с переносом ? Вопрос кажется очень простым для тех, кто давно использует язык Verilog, но почему-то оказывается абсолютно непонятным для новичков ...
Создано 04 марта 2013
5. Verilog HDL, Часть 1, главы 1.1 и 1.2 ...
(Разное)
Как я и обещал ранее, я начал перевод некоторых глав из книги Samir Palnitkar, "Verilog HDL, A Guide to Digital Design and Synthesis". Это вольный перевод, так как я не являюсь профессиональным переводчиком ...
Создано 16 марта 2010
6. Управление четырьмя шаговыми двигателями из Raspberry через плату MA3128
(Проекты для платы MA3128)
... возможно они не будут мешать друг другу. Модуль верхнего уровня для ПЛИС написан на Verilog HDL: module max( input wire CLK, input wire CLK2, output wire [7:0]LED, input wire [1:0]KEY, output ...
Создано 22 января 2023
7. Двоичный счетчик на плате MA3128
(Проекты для платы MA3128)
... репозитория на github: https://github.com/marsohod4you/MA3128 Главный модуль двоичного счетчика для платы MA3128 написан на Verilog HDL. Тут всё просто: module max(   input wire CLK,   input wire ...
Создано 15 января 2023
8. Процессор языка Forth в FPGA
(Проекты Quartus Prime для M02mini)
... компактности. Ядро процессора занимает меньше 150 строк кода на Verilog HDL: `include "common.h" module j1( input wire clk, input wire resetq, output wire io_wr, output wire [15:0] mem_addr, outpu ...
Создано 06 октября 2020
9. Шифровальная машина Энигма М3 в FPGA
(Проекты Quartus Prime для M02mini)
... t. По логике тогда у модуля verilog должно быть всего 26 inout для сигналов слева и 26 inout для сигналов справа. Но это будет как-то громоздко при описании. Вот код на verilog HDL, который сделал я: mod ...
Создано 27 сентября 2020
10. USB хост контроллер с двумя портами для мыши и клавиатуры
(Проекты Intel Quartus Prime для платы Марсоход3)
... использую простейший модуль switcher. Модуль switcher написан на Verilog HDL и просто коммутирует сигналы чтения rd, записи wr, готовности данных и сами данные от двух экземпляров хост контроллера ...
Создано 26 апреля 2020
11. USB хост контроллер
(Проекты Intel Quartus Prime для платы Марсоход3)
... и программный: USB хост контроллер выполнен в среде Intel Quartus Prime Lite, топ модуль в виде схемы, остальные модули - это Verilog HDL; Программа управления, написана на C/C++ в среде Visual Studio. ...
Создано 05 марта 2020
12. Реверс инжиниринг микросхемы RGB светодиода WS2812B
(Проекты Altera Quartus II для платы Марсоход)
... формируются Широтно-Импульсно Модулированные сигналы для светодиодов R-G-B. Код Verilog HDL, который описывает всю эту логику может выглядеть вот так: `timescale 1ns / 1ns module WS2812B( input ...
Создано 31 декабря 2019
13. Симуляция системы на кристале Amber ARM v2a SoC с помощью Verilator
(ARM System-on-Chip)
Поскольку я начал изучать этот очень быстрый симулятор Verilog HDL - Verilator, то подумал, мне, чтобы лучше понять и освоить его нужен конкретный проект. Но ведь у меня их много! Почему бы мне не попробовать ...
Создано 06 декабря 2019
14. Verilator
(FPGA & Verilog блог)
Я уже писал про симуляцию Verilog HDL проектов в ModelSim и с помощью Icarus Verilog. Однако, конечно, существуют и другие средства. Один из самых быстрых симуляторов, и к тому же свободный и бесплатный, ...
Создано 26 ноября 2019
15. Создание нового FPGA проекта Intel Quartus Prime с нуля
(Intel Quartus Prime)
... File -> New и появляется окно, где мы выбираем типр создаваемого файла. Можно создавать проект в виде схемы, може сделать VHDL описание проекта. Я предпочитаю создавать Verilog HDL файлы. Нажимаю OK и ...
Создано 03 ноября 2019
16. Обзор меню САПР Quartus Prime
(Intel Quartus Prime)
... . 8. Меню Help. Это меню довольно заурядное, но хотел бы обратить внимание на пункты: PDF Tutorials => PDF Tutorial for VHDL users FDP Tutorials => PDF Tutorial for Verilog HDL users Э ...
Создано 16 октября 2019
17. Скачиваем САПР Intel Quartus Prime
(Intel Quartus Prime)
... l, то есть из C++ сразу в приемлимый для FPGA код. Но это можно осваивать уже после первоначального ознакомления с обычным проектированием для FPGA на Verilog HDL, VHDL, схемы. Еще здесь можно скачать компиля ...
Создано 28 сентября 2019
18. Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором
(Проекты для платы Марсоход2bis)
...  Модуль на Verilog HDL будет выглядеть вот так: module seg4x7_ascii(   input wire clk, // 100MHZ   input wire [31:0] in,   output reg [3:0] digit_sel,   output reg [7:0] out ); reg [19:0] cnt; ...
Создано 05 сентября 2019
19. О переносе FPGA проектов с одной платы на другую
(Проекты для платы Марсоход2bis)
... битом. Сейчас же для кодировки аттрибутов используется 8 бит. Значит я нашел место, где можно по-быстрому сделать оптимизацию! Пишу на Verilog HDL вот такой модуль конвертации аттрибутов: modul ...
Создано 01 сентября 2019
20. Подборка проектов для платы Марсоход2bis
(Проекты для платы Марсоход2bis)
...  Я подготовил даже 2 проекта с двоичным счетчиком: один в папке counter_verilog и второй counter_schema. Делают они одно и то же, но выполнены соответственно в Verilog HDL и в виде схемы. Тут все просто: ...
Создано 26 августа 2019
  • В начало
  • Назад
  • 1
  • 2
  • 3
  • 4
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

измерение Brainfuck асинхронный сброс тестбенч шутка SoC Amber Последовательный порт ПЛИС Altera марсоход драйвер устройства front edge ИК приемник MIPSopen мышь финал Фильтр Программное обеспечение удаленное управление плата Марсоход описание гитара Триггер Verilog System Tasks MBFTDI RS232 flip-flop Марсоход Clock Domain Cross Дэвид М Харрис и Сара Л Харрис USB Tracker Verilog инструкция Quartus II последовательный порт Умный дом Virtual JTAG memtest Частотомер dynamic phase shift расчет фильтра Волшебная шкатулка USBTerm USB анализатор Verilog State Machine Framework Quartus II Светодиодная реклама анимация игрушки FPGA

Комментарии

  • Плата MA3128 управляемая из Raspberry

    nckm 18.01.2023 12:45
    Делаем плату с GOWIN, но будет не очень скоро. Месяц-два.

    Подробнее...

     
  • Плата MA3128 управляемая из Raspberry

    Konstantin Ch. 17.01.2023 13:29
    Спасибо за материал, скажите а планируется ли создание плат/ написание статей с чипами GOWIN?

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Анатолий 04.01.2023 10:34
    Если в схему добавить параллельно реальный D-триггер и в тестовые воздействия добавить переключения D-входа ...

    Подробнее...

     
  • Скачиваем САПР Intel Quartus Prime

    Farouk Smith 10.12.2022 05:35
    Intelcore@

    Подробнее...

     
  • Загрузка Quartus Web/Prime

    Григорий 27.11.2022 06:48
    Всё, разобрался сам. Установил 13.0 с дополнением max_web-13.0.1. 232.qdz , там есть поддержка МАХ7000.

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама