Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

Quartus II Verilog телескоп Microsoft USB программатор пульт ДУ Altera фаза RS-232 Второй урок Иерархия проекта boot-loader ARM v2a Воспроизведение звука DeltaSigma ЦАП Цап R2R - нюансы ИК приемник Verilog VPI Raspberry проект для ПЛИС FPGA tesbench Icarus always печатная плата VT100 процессор Новый Quartus II v 14 Нанотехнологии суперкрепление Cyclone IV ПЛИС дешифратор защелка назначения в проекте button Marsohod2 System Verilog arm-none-linux-gnueabi-gcc debug crosstool-ng программатор Анонс новой платы CPLD MAX II Verilog HDL SHA256 симулятор 10M02 условное исполнение платы разработчика

Комментарии

  • Программатор MBFTDI для Altera Quartus Prime, работающего в Ubuntu Linux

    tadalafil for sale 03.03.2021 02:24
    tadalafil for sale: vidallista.com/ (http://vidallista.com/)

    Подробнее...

     
  • Триггер

    Marilynn 02.03.2021 09:43
    best price [censored] sildenafil generic no prescription progz.hu/.../ (http://progz.hu/index.php?action=profile;u=148267) ...

    Подробнее...

     
  • Введение в Verilog, Третий урок - арифметика и логика

    daily cialis pills 02.03.2021 07:47
    Thanks for your personal marvelous posting! I really enjoyed reading it, you are a great author.I ...

    Подробнее...

     
  • Симулятор в Quartus v13

    Meghan 02.03.2021 07:43
    That is a really good tip especially to those fresh to the blogosphere. Simple but very precise info… ...

    Подробнее...

     
  • Осторожненько

    cialis 2.5 vs 5 mg 01.03.2021 22:07
    Wow, amazing blog layout! How long have you been blogging for? you made blogging look easy. The overall ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Результат поиска: найдено 62 объектов.

Совпадение
Ограничение области поиска
1. Язык описания аппаратуры Verilog HDL ...
(Категория)
Verilog HDL (Hardware Description Language) - это язык текстового описания аппаратуры. Он используется для проектирования, моделирования, верификации цифровых микросхем (смотри Википедию), плат и систем. ...
Создано 30 ноября -0001
2. Исходный код
(Категория)
Некоторые статьи ссылаются на исходный код. Это могут быть программы на Verilog HDL, C/C++, Python и прочие. Этот раздел представляет несколько избранных исходных текстов.  ...
Создано 30 ноября -0001
3. FPGA & Verilog блог
(Категория)
FPGA & Verilog Блог САПР Altera Quartus II / Intel Quartus Prime, язык Verilog HDL и кое-что про VHDL, FPGA Cyclone III, Cyclone IV, MAX II, MAX10. Платы разработчика серии Марсоход, Марсоход2, Марсоход3. ...
Создано 21 декабря 2014
4. Сумматор с переносом на Verilog HDL ...
(Язык описания аппаратуры Verilog HDL)
Как на языке Verilog HDL реализовать сумматор или вычитатель с переносом ? Вопрос кажется очень простым для тех, кто давно использует язык Verilog, но почему-то оказывается абсолютно непонятным для новичков ...
Создано 04 марта 2013
5. Verilog HDL, Часть 1, главы 1.1 и 1.2 ...
(Разное)
Как я и обещал ранее, я начал перевод некоторых глав из книги Samir Palnitkar, "Verilog HDL, A Guide to Digital Design and Synthesis". Это вольный перевод, так как я не являюсь профессиональным переводчиком ...
Создано 16 марта 2010
6. Процессор языка Forth в FPGA
(Проекты Quartus Prime для M02mini)
... компактности. Ядро процессора занимает меньше 150 строк кода на Verilog HDL: `include "common.h" module j1( input wire clk, input wire resetq, output wire io_wr, output wire [15:0] mem_addr, outpu ...
Создано 06 октября 2020
7. Шифровальная машина Энигма М3 в FPGA
(Проекты Quartus Prime для M02mini)
... t. По логике тогда у модуля verilog должно быть всего 26 inout для сигналов слева и 26 inout для сигналов справа. Но это будет как-то громоздко при описании. Вот код на verilog HDL, который сделал я: mod ...
Создано 27 сентября 2020
8. USB хост контроллер с двумя портами для мыши и клавиатуры
(Проекты Intel Quartus Prime для платы Марсоход3)
... использую простейший модуль switcher. Модуль switcher написан на Verilog HDL и просто коммутирует сигналы чтения rd, записи wr, готовности данных и сами данные от двух экземпляров хост контроллера ...
Создано 26 апреля 2020
9. USB хост контроллер
(Проекты Intel Quartus Prime для платы Марсоход3)
... и программный: USB хост контроллер выполнен в среде Intel Quartus Prime Lite, топ модуль в виде схемы, остальные модули - это Verilog HDL; Программа управления, написана на C/C++ в среде Visual Studio. ...
Создано 05 марта 2020
10. Реверс инжиниринг микросхемы RGB светодиода WS2812B
(Проекты Altera Quartus II для платы Марсоход)
... формируются Широтно-Импульсно Модулированные сигналы для светодиодов R-G-B. Код Verilog HDL, который описывает всю эту логику может выглядеть вот так: `timescale 1ns / 1ns module WS2812B( input ...
Создано 31 декабря 2019
11. Симуляция системы на кристале Amber ARM v2a SoC с помощью Verilator
(ARM System-on-Chip)
Поскольку я начал изучать этот очень быстрый симулятор Verilog HDL - Verilator, то подумал, мне, чтобы лучше понять и освоить его нужен конкретный проект. Но ведь у меня их много! Почему бы мне не попробовать ...
Создано 06 декабря 2019
12. Verilator
(FPGA & Verilog блог)
Я уже писал про симуляцию Verilog HDL проектов в ModelSim и с помощью Icarus Verilog. Однако, конечно, существуют и другие средства. Один из самых быстрых симуляторов, и к тому же свободный и бесплатный, ...
Создано 26 ноября 2019
13. Создание нового FPGA проекта Intel Quartus Prime с нуля
(Intel Quartus Prime)
... File -> New и появляется окно, где мы выбираем типр создаваемого файла. Можно создавать проект в виде схемы, може сделать VHDL описание проекта. Я предпочитаю создавать Verilog HDL файлы. Нажимаю OK и ...
Создано 03 ноября 2019
14. Обзор меню САПР Quartus Prime
(Intel Quartus Prime)
... . 8. Меню Help. Это меню довольно заурядное, но хотел бы обратить внимание на пункты: PDF Tutorials => PDF Tutorial for VHDL users FDP Tutorials => PDF Tutorial for Verilog HDL users Э ...
Создано 16 октября 2019
15. Скачиваем САПР Intel Quartus Prime
(Intel Quartus Prime)
... l, то есть из C++ сразу в приемлимый для FPGA код. Но это можно осваивать уже после первоначального ознакомления с обычным проектированием для FPGA на Verilog HDL, VHDL, схемы. Еще здесь можно скачать компиля ...
Создано 28 сентября 2019
16. Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором
(Проекты для платы Марсоход2bis)
...  Модуль на Verilog HDL будет выглядеть вот так: module seg4x7_ascii(   input wire clk, // 100MHZ   input wire [31:0] in,   output reg [3:0] digit_sel,   output reg [7:0] out ); reg [19:0] cnt; ...
Создано 05 сентября 2019
17. О переносе FPGA проектов с одной платы на другую
(Проекты для платы Марсоход2bis)
... битом. Сейчас же для кодировки аттрибутов используется 8 бит. Значит я нашел место, где можно по-быстрому сделать оптимизацию! Пишу на Verilog HDL вот такой модуль конвертации аттрибутов: modul ...
Создано 01 сентября 2019
18. Подборка проектов для платы Марсоход2bis
(Проекты для платы Марсоход2bis)
...  Я подготовил даже 2 проекта с двоичным счетчиком: один в папке counter_verilog и второй counter_schema. Делают они одно и то же, но выполнены соответственно в Verilog HDL и в виде схемы. Тут все просто: ...
Создано 26 августа 2019
19. Управление шаговым двигателем 28byj-48 из ПЛИС на Verilog
(FPGA & Verilog блог)
... двигателями из платы Марсоход. Здесь в этой статье я расскажу, как управлять именно этим 28byj-48 в полнофазном (full step) и полуфазном (half step) режиме. Напишем программу управления на Verilog HDL. ...
Создано 01 апреля 2019
20. Цветомузыка в FPGA
(Проекты Intel Quartus Prime для платы Марсоход3)
... светодиодной RGB ленте и этой лентой управляет модуль colorsRGB. Модули colorsRGB, pwm, fir_filter и некоторые другие написаны на Verilog HDL. Должен сказать, что канал низких частот был пожалуй самым ...
Создано 30 декабря 2018
  • В начало
  • Назад
  • 1
  • 2
  • 3
  • 4
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

Странная идея ModelSim Verilog CPLD System Verilog USBTerm ПЛИС результат защелкаб latch make dep робот 1 для начинающих FPGA Анонс новой платы Cyclone III инжектор always монтаж плат тестбенч верилог MAX II Новый Quartus II v 14 Altera Quartus II TAP controller Music Intel плата Марсоход FTDI2232HL Bitbang mode testbench ALTERA расчет фильтра симуляция Verilog Gotcha КИХ фильтр на Verilog 7-segment Амплитудная модуляция boot-loader ПЛИС Altera анимация игрушки MBFTDI программатор MBFTDI WiFi Icarus удаленное управление НАНО-паяльник Quartus II Hardware Freedom Day HDMI Плата Марсоход машинка Bitcoin

Комментарии

  • Программатор MBFTDI для Altera Quartus Prime, работающего в Ubuntu Linux

    tadalafil for sale 03.03.2021 02:24
    tadalafil for sale: vidallista.com/ (http://vidallista.com/)

    Подробнее...

     
  • Триггер

    Marilynn 02.03.2021 09:43
    best price [censored] sildenafil generic no prescription progz.hu/.../ (http://progz.hu/index.php?action=profile;u=148267) ...

    Подробнее...

     
  • Введение в Verilog, Третий урок - арифметика и логика

    daily cialis pills 02.03.2021 07:47
    Thanks for your personal marvelous posting! I really enjoyed reading it, you are a great author.I ...

    Подробнее...

     
  • Симулятор в Quartus v13

    Meghan 02.03.2021 07:43
    That is a really good tip especially to those fresh to the blogosphere. Simple but very precise info… ...

    Подробнее...

     
  • Осторожненько

    cialis 2.5 vs 5 mg 01.03.2021 22:07
    Wow, amazing blog layout! How long have you been blogging for? you made blogging look easy. The overall ...

    Подробнее...

На форуме

  • Нет сообщений для показа