Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

Verilog Gotchas MAX II Mobile Phone SL4A FPGA Опять 25 новая плата Марсоход2 инжектор процессор Апгрейд Подмосковных вечеров VHDL Android ПЛИС 28BYJ-48 Ethernet пакет Quartus Prime Verilog HDL Verilog примеры Altera Частота ноты VSMF Марсоход2 Помощь передача пакета CPLD tesbench работа над ошибками Verilog Gotcha HDMI Quartus II меандр ARM core Stratix 10 тестбенч Verilog интернет магазин USB программатор но не выиграли часы Half-step Raspberry АЦП Синхронная логика Проект MIPSfpga Сколково марсоход Цветомузыка в FPGA Программатор MBFTDI БЛОГ о ПЛИС

Комментарии

  • ПИ-Гаджет

    Tammie 12.04.2021 05:15
    Great post! We are linking to this great content on our site. Keep up the great writing. Feel free ...

    Подробнее...

     
  • Интел анонсировала выпуск новых FPGA Cyclone 10

    Helen 09.04.2021 04:40
    Промокоды — отличный способ привлечения новых клиентов интернет-магази нами, повышения их лояльности ...

    Подробнее...

     
  • Интел анонсировала выпуск новых FPGA Cyclone 10

    Dinah 07.04.2021 09:01
    Промокод может быть однократным (один покупатель — одна покупка) или многократным (и сам покупаешь ...

    Подробнее...

     
  • Verilog System Tasks

    ateff 26.01.2021 08:48
    Я вот что-то не совсем понимаю смысла вподобного рода симуляции. Верилог всё-таки не язык программировани ...

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 11:51
    Так. Проблема во мне. Я не той стороной вставил плату. Можно удалить мой предыдущий коммент.

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Скачать FPGA проекты, документацию или драйвера

Результат поиска: найдено 6 объектов.

Совпадение
Ограничение области поиска
1. Разворот бит в шине на Verilog
(Язык описания аппаратуры Verilog HDL)
Казалось бы простая задача: как развернуть биты в шине так, чтоб младший бит стал старшим, а старший самым младшим? Первое, что приходит на ум: написать вот так: reg [7:0]src; //reverse? wire [0:7]re1; ...
Создано 23 сентября 2018
2. КИХ фильтр на Verilog
(Разное)
В этой статье я хочу рассказать о своих экспериментах по созданию простого параметрического цифрового КИХ фильтра на Verilog HDL. До сегодняшнего дня я старался избегать тем цифровой обработки сигналов ...
Создано 25 апреля 2016
3. Симуляция SDRAM контроллера в ModelSim
(Разное)
Попробую просимулировать SDRAM контроллер из предыдущей статьи mindango с помощью симулятора ModelSim Altera. Действительно у ModelSim Altera есть ряд ограничений, очень важное ограничение - это отсутствие ...
Создано 16 ноября 2014
4. Интерфейс Verilog VPI
(Разное)
... e:");     $getval("myvar0",v0);     $getval("myvar1",v1);     $display("v0=%d",v0);     $display("v1=%d",v1);     $finish; end endmodule  Эта программа Verilog Testbench не делает ничего сущес ...
Создано 24 января 2014
5. ПЛИС внутри ПЛИС
(Проекты Altera Quartus II для платы Марсоход)
Я хочу рассказать о своем новом проекте для платы Марсоход. Я попытался сделать проект своей маленькой ПЛИС, написать этот проект на Verilog  и реально запустить его внутри настоящей ПЛИС. Наверное ...
Создано 19 июня 2011
6. Симуляция проекта Теннис
(Разное)
Иногда приходится иметь дело с аппаратными проектами в которых отдельные события происходят довольно редко. И не то что бы рабочая частота была небольшой - как раз нет, рабочая частота довольно высокая ...
Создано 05 марта 2011

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

Altera RTLViewer FPGA С Новым Годом! 2011! Quartus Prime 1 через редактор Waveform Шкатулка сенсор icarus-verilog тестбенч Serial port FIFO Новогодняя елка 2017! усилитель Видеоигра Теннис TFilter Altera Quartus II описание схем синус Serial Port датчик Симуляция MIPSfpga verilog цифровая логика Hardware Freedom Day MAX10 Altera семи-сегментный индикатор FPGA Cyclone III time-to-digital программатор JTAG CPLD Modelsim ModelSim мультиплексор ядро Linux микроконтроллер 1 с программатором MBFTDI Intel система контроля версий моторчик Build плата Марсоход САПР симуляция Игра River Raid Модуль приемника USB SDRAM

Комментарии

  • ПИ-Гаджет

    Tammie 12.04.2021 05:15
    Great post! We are linking to this great content on our site. Keep up the great writing. Feel free ...

    Подробнее...

     
  • Интел анонсировала выпуск новых FPGA Cyclone 10

    Helen 09.04.2021 04:40
    Промокоды — отличный способ привлечения новых клиентов интернет-магази нами, повышения их лояльности ...

    Подробнее...

     
  • Интел анонсировала выпуск новых FPGA Cyclone 10

    Dinah 07.04.2021 09:01
    Промокод может быть однократным (один покупатель — одна покупка) или многократным (и сам покупаешь ...

    Подробнее...

     
  • Verilog System Tasks

    ateff 26.01.2021 08:48
    Я вот что-то не совсем понимаю смысла вподобного рода симуляции. Верилог всё-таки не язык программировани ...

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 11:51
    Так. Проблема во мне. Я не той стороной вставил плату. Можно удалить мой предыдущий коммент.

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама