Казалось бы простая задача: как развернуть биты в шине так, чтоб младший бит стал старшим, а старший самым младшим? Первое, что приходит на ум: написать вот так:
reg [7:0]src; //reverse? wire [0:7]re1; ...
В этой статье я хочу рассказать о своих экспериментах по созданию простого параметрического цифрового КИХ фильтра на Verilog HDL. До сегодняшнего дня я старался избегать тем цифровой обработки сигналов ...
Попробую просимулировать SDRAM контроллер из предыдущей статьи mindango с помощью симулятора ModelSim Altera. Действительно у ModelSim Altera есть ряд ограничений, очень важное ограничение - это отсутствие ...
... e:"); $getval("myvar0",v0); $getval("myvar1",v1); $display("v0=%d",v0); $display("v1=%d",v1);
$finish; end
endmodule
Эта программа Verilog Testbench не делает ничего сущес ...
Я хочу рассказать о своем новом проекте для платы Марсоход.
Я попытался сделать проект своей маленькой ПЛИС, написать этот проект на Verilog и реально запустить его внутри настоящей ПЛИС. Наверное ...
Иногда приходится иметь дело с аппаратными проектами в которых отдельные события происходят довольно редко. И не то что бы рабочая частота была небольшой - как раз нет, рабочая частота довольно высокая ...
Подробнее...