Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

фреймбуффер testbench Марсоход3 АЦП UsbBlaster Quartus II моторчик Service Pack пульт ДУ island- style FPGA синхронизатор клавиатура OpenCores воздушная подушка Игрушка для кошки FORTH Синтез звука Wizart Марсоход2 Gray Code mbftdi плата Марсоход интернет магазин Что такое JTAG последовательный порт микроконтроллер ПЛИС FTDI Альтера Verilog Altera libjtag Winrad Icarus FPGA audacity солнечное затмение Тестбенч игры Теннис Фоторамка Часть3 Фреймбуффер колебательный контур Scratch Plastic Optical Fiber HSYNC купить плату instruction set Видеоигра Питон Quartus тестбенч

Комментарии

  • Подключение платы Марсоход к телефону с ОС Android

    Bud 13.04.2021 12:57
    I leaνe a commеnt when I appreciate a post on a blog orr I һhаve something to add to the converѕatiоn.

    Подробнее...

     
  • ПИ-Гаджет

    Tammie 12.04.2021 05:15
    Great post! We are linking to this great content on our site. Keep up the great writing. Feel free ...

    Подробнее...

     
  • Интел анонсировала выпуск новых FPGA Cyclone 10

    Helen 09.04.2021 04:40
    Промокоды — отличный способ привлечения новых клиентов интернет-магази нами, повышения их лояльности ...

    Подробнее...

     
  • Интел анонсировала выпуск новых FPGA Cyclone 10

    Dinah 07.04.2021 09:01
    Промокод может быть однократным (один покупатель — одна покупка) или многократным (и сам покупаешь ...

    Подробнее...

     
  • Verilog System Tasks

    ateff 26.01.2021 08:48
    Я вот что-то не совсем понимаю смысла вподобного рода симуляции. Верилог всё-таки не язык программировани ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Результат поиска: найдено 6 объектов.

Совпадение
Ограничение области поиска
1. Разворот бит в шине на Verilog
(Язык описания аппаратуры Verilog HDL)
Казалось бы простая задача: как развернуть биты в шине так, чтоб младший бит стал старшим, а старший самым младшим? Первое, что приходит на ум: написать вот так: reg [7:0]src; //reverse? wire [0:7]re1; ...
Создано 23 сентября 2018
2. КИХ фильтр на Verilog
(Разное)
В этой статье я хочу рассказать о своих экспериментах по созданию простого параметрического цифрового КИХ фильтра на Verilog HDL. До сегодняшнего дня я старался избегать тем цифровой обработки сигналов ...
Создано 25 апреля 2016
3. Симуляция SDRAM контроллера в ModelSim
(Разное)
Попробую просимулировать SDRAM контроллер из предыдущей статьи mindango с помощью симулятора ModelSim Altera. Действительно у ModelSim Altera есть ряд ограничений, очень важное ограничение - это отсутствие ...
Создано 16 ноября 2014
4. Интерфейс Verilog VPI
(Разное)
... e:");     $getval("myvar0",v0);     $getval("myvar1",v1);     $display("v0=%d",v0);     $display("v1=%d",v1);     $finish; end endmodule  Эта программа Verilog Testbench не делает ничего сущес ...
Создано 24 января 2014
5. ПЛИС внутри ПЛИС
(Проекты Altera Quartus II для платы Марсоход)
Я хочу рассказать о своем новом проекте для платы Марсоход. Я попытался сделать проект своей маленькой ПЛИС, написать этот проект на Verilog  и реально запустить его внутри настоящей ПЛИС. Наверное ...
Создано 19 июня 2011
6. Симуляция проекта Теннис
(Разное)
Иногда приходится иметь дело с аппаратными проектами в которых отдельные события происходят довольно редко. И не то что бы рабочая частота была небольшой - как раз нет, рабочая частота довольно высокая ...
Создано 05 марта 2011

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

терминал VT100 Quartus Prime Design Software v15 make menuconfig Quartus Prime state machine Марсоход2 и Марсоход3 2232H плата Марсоход Verilog VPI Altera RTLViewer serial port Altera Еще про отладку WinDbg opencores версия 1 button Quartus II Фазовая модуляция branch текстовый дисплей Снеговик Декодер сенсор ИК интерфейс MAX10 дешифратор Марсоход2 Мультиплексор синхронное FIFO Марсоход3 Serial Port OpenCores Micron Летающая тарелка D-триггер FPGA Cyclone IV ядро Linux Ubuntu LEDs display SignalTap Архитектура ПЛИС FPGA Verilog testbench Verilog Волк-Коза-Капуста первый вариант MAX II условное исполнение DETFF

Комментарии

  • Подключение платы Марсоход к телефону с ОС Android

    Bud 13.04.2021 12:57
    I leaνe a commеnt when I appreciate a post on a blog orr I һhаve something to add to the converѕatiоn.

    Подробнее...

     
  • ПИ-Гаджет

    Tammie 12.04.2021 05:15
    Great post! We are linking to this great content on our site. Keep up the great writing. Feel free ...

    Подробнее...

     
  • Интел анонсировала выпуск новых FPGA Cyclone 10

    Helen 09.04.2021 04:40
    Промокоды — отличный способ привлечения новых клиентов интернет-магази нами, повышения их лояльности ...

    Подробнее...

     
  • Интел анонсировала выпуск новых FPGA Cyclone 10

    Dinah 07.04.2021 09:01
    Промокод может быть однократным (один покупатель — одна покупка) или многократным (и сам покупаешь ...

    Подробнее...

     
  • Verilog System Tasks

    ateff 26.01.2021 08:48
    Я вот что-то не совсем понимаю смысла вподобного рода симуляции. Верилог всё-таки не язык программировани ...

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама