Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

Altera USBTerm Verilog monitor Google voice recognition API схема видео игра Теннис Индикатор температуры fopen Altera RTLViewer MAX II машинка VHDL JTAG ПЛИС CPLD raspberry PI3 ds18b20 Декодер RS-232 тестбенч семи-сегментный индикатор симуляция условное исполнение лабиринт Ethernet пакет симулятор С Новым Годом! Уже 2015-й! SDRAM FPGA UsbBlaster проект Quartus II ZX Spectrum Модуль USB передатчика branch механика геркон FPGA Cyclone III Marsohod2bis разводка платы дешифратор Посох Деда Мороза ModelSim Хексовый видеоадаптер компилятор C АЦП модуляция jtagserver CPLD LVSD

Комментарии

  • Введение в Verilog, Третий урок - арифметика и логика

    10 mg cialis cost 25.01.2021 00:48
    10 mg [censored] cost: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Maryjo 25.01.2021 00:28
    Thanks very nice blog!

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Vivian 24.01.2021 07:23
    Greetings from Los angeles! I'm bored to death at work so I decided to check out your site on my ...

    Подробнее...

     
  • Простой генератор псевдослучайных чисел

    Bennett 24.01.2021 04:36
    “A massive-scale pattern iѕ one of tһe finest drapery treatments yօu can do for а window. Ηere is my ...

    Подробнее...

     
  • Простой генератор псевдослучайных чисел

    Pedro 24.01.2021 00:48
    Sky model escorts are seductive, sophisticated аnd they wilⅼ гeally maҝe your vacation memorable.

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Результат поиска: найдено 6 объектов.

Совпадение
Ограничение области поиска
1. Разворот бит в шине на Verilog
(Язык описания аппаратуры Verilog HDL)
Казалось бы простая задача: как развернуть биты в шине так, чтоб младший бит стал старшим, а старший самым младшим? Первое, что приходит на ум: написать вот так: reg [7:0]src; //reverse? wire [0:7]re1; ...
Создано 23 сентября 2018
2. КИХ фильтр на Verilog
(Разное)
В этой статье я хочу рассказать о своих экспериментах по созданию простого параметрического цифрового КИХ фильтра на Verilog HDL. До сегодняшнего дня я старался избегать тем цифровой обработки сигналов ...
Создано 25 апреля 2016
3. Симуляция SDRAM контроллера в ModelSim
(Разное)
Попробую просимулировать SDRAM контроллер из предыдущей статьи mindango с помощью симулятора ModelSim Altera. Действительно у ModelSim Altera есть ряд ограничений, очень важное ограничение - это отсутствие ...
Создано 16 ноября 2014
4. Интерфейс Verilog VPI
(Разное)
... e:");     $getval("myvar0",v0);     $getval("myvar1",v1);     $display("v0=%d",v0);     $display("v1=%d",v1);     $finish; end endmodule  Эта программа Verilog Testbench не делает ничего сущес ...
Создано 24 января 2014
5. ПЛИС внутри ПЛИС
(Проекты Altera Quartus II для платы Марсоход)
Я хочу рассказать о своем новом проекте для платы Марсоход. Я попытался сделать проект своей маленькой ПЛИС, написать этот проект на Verilog  и реально запустить его внутри настоящей ПЛИС. Наверное ...
Создано 19 июня 2011
6. Симуляция проекта Теннис
(Разное)
Иногда приходится иметь дело с аппаратными проектами в которых отдельные события происходят довольно редко. И не то что бы рабочая частота была небольшой - как раз нет, рабочая частота довольно высокая ...
Создано 05 марта 2011

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

Verilog HDL ультразвук АЦП протокол ternary logic ядро Linux Марсоход FPGA Модуль USB функции Raspberry Pi3 HDMI Altera Синтезатор нот на VERILOG поразительно make vmlinux MBFTDI плата Марсоход трассировка сигнала отладка сигнал Delta-Sigma DAC Quartus Prime Icarus Verilog SL4A VHDL ПЛИС Bitcoin условное исполнение Шилд разъемов Amber ARM Синхронная логика проект Quartus II Цветомузыка в FPGA Quartus II тестбенч always работающего в Ubuntu Linux USBTerm ИК приемник командировка Android инструкция Quartus II симуляция иллюминация управление bluetooth Помощь компиляция ядра Hardware Freedom Day Serial которые меня беспокоили

Комментарии

  • Введение в Verilog, Третий урок - арифметика и логика

    10 mg cialis cost 25.01.2021 00:48
    10 mg [censored] cost: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Maryjo 25.01.2021 00:28
    Thanks very nice blog!

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Vivian 24.01.2021 07:23
    Greetings from Los angeles! I'm bored to death at work so I decided to check out your site on my ...

    Подробнее...

     
  • Простой генератор псевдослучайных чисел

    Bennett 24.01.2021 04:36
    “A massive-scale pattern iѕ one of tһe finest drapery treatments yօu can do for а window. Ηere is my ...

    Подробнее...

     
  • Простой генератор псевдослучайных чисел

    Pedro 24.01.2021 00:48
    Sky model escorts are seductive, sophisticated аnd they wilⅼ гeally maҝe your vacation memorable.

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама