Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
      • Amber ARM SoCAmber ARM SoC
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

memcpy Введение в Verilog Пятый урок Icarus Verilog тестбенч верилог Вебинар ПЛИС Altera Цап R2R - нюансы анализатор протокола USB HDSDR Немного самолетов цены JTAG Quartus II меандр RTLViewer SVF Player MIPSfpga последовательный порт USB передатчик Tkinter Altera Дешифратор memtest Марсоход2bis текстовый дисплей Python Delta-Sigma DAC VHDL TCL Script MAX II Марсоход3 Триггер программатор Cyclone III Волк-Коза-Капуста первый вариант debug Altera CPLD UFM module Linux Quaruts II Quartus Prime decoder ядро Linux FTDI отладка Verilog HDL FT2232H сделаем сумматор serial port Verilog

Комментарии

  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Nereida 19.01.2021 20:42
    I do not even know how I ended up here, but I thought this post was great. I do not know who you are ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Lukas 19.01.2021 13:32
    Truly when someone doesn't understand then its up to other viewers that they will help, so here it ...

    Подробнее...

     
  • Частотомер

    Meghan 19.01.2021 12:46
    Hi there, I discovered your website by the use of Google at the same time as looking for a comparable ...

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 11:51
    Так. Проблема во мне. Я не той стороной вставил плату. Можно удалить мой предыдущий коммент.

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 08:56
    Я короче, купил эту плату со встроенным чипом памяти. Включил. И тут чип памяти, походу, короткое ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

ARM System-on-Chip

Результат поиска: найдено 26 объектов.

Совпадение
Ограничение области поиска
1. Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором
(Проекты для платы Марсоход2bis)
... далеко не все символы можно отображать, но большинство символов вполне узнаваемы. Идею я подсмотрел вот здесь: https://www.partsnotincluded.com/electronics/segmented-led-display-ascii-library/ Я реализовал ...
Создано 05 сентября 2019
2. Цифровой КИХ фильтр на Verilog для цветомузыки
(Разное)
В предыдущей статье я писал об изготовлении самодельного микрофонного шилда к плате Марсоход3bis. Для чего мне понадобилась такая плата? Мне захотелось сделать "новогодний проект" - "Цветомузыка". Я ...
Создано 24 декабря 2018
3. Разворот бит в шине на Verilog
(Язык описания аппаратуры Verilog HDL)
Казалось бы простая задача: как развернуть биты в шине так, чтоб младший бит стал старшим, а старший самым младшим? Первое, что приходит на ум: написать вот так: reg [7:0]src; //reverse? wire [0:7]re1; ...
Создано 23 сентября 2018
4. Как вернуть скрипту результат симуляции Verilog?
(Разное)
Появилась простая задача - нужно из скрипта (batch/Windows или bash/Linux) проанализировать результат симуляции Verilog. Причем желательно, чтобы решение работало с разными симуляторами: icarus и modelsim ...
Создано 11 сентября 2018
5. Виртуальные светодиоды и 7-ми сегментный индикатор
(Проекты Intel Quartus Prime для платы Марсоход3)
 X0dwqFWkOjI Когда человек начинает осваивать какой нибудь микроконтроллер или ПЛИС, то первый проект, который он делает - это моргание светодиодом. На нашем сайте есть примеры таких простых проектов ...
Создано 06 ноября 2017
6. Структура библиотеки Winrad DLL для SDR радио
(Разное)
... quency on display (*ExtIOCallback)(-1, 105, 0, NULL); // sync tune frequency on display return; // this HW does not return audio data through the callback device // nor it has the need to signa ...
Создано 03 мая 2016
7. КИХ фильтр на Verilog
(Разное)
В этой статье я хочу рассказать о своих экспериментах по созданию простого параметрического цифрового КИХ фильтра на Verilog HDL. До сегодняшнего дня я старался избегать тем цифровой обработки сигналов ...
Создано 25 апреля 2016
8. Простой генератор псевдослучайных чисел
(Проекты Intel Quartus Prime для платы Марсоход3)
Давно ничего не писал в блоге Марсохода - много всякого навалилось, всякие дела, командировка, встречи.. Вот решил восполнить пробел и сделать очень простой проект. Простые проекты ведь тоже нужны, особенно ...
Создано 14 марта 2016
9. Копирование экрана виртуальной машины на терминал USBTerm
(Проект графического терминала USBTerm)
qIXZZn1Ei00 Только что прошел еще один очень важный этап в своем проекте USBTerm. Поскольку я собираюсь отображать на своем графическом терминале экран виртуальной машины VMWare, то мне принципиально ...
Создано 03 февраля 2016
10. Реализация HDMI в ПЛИС
(Проекты Intel Quartus Prime для платы Марсоход3)
Мир не стоит на месте, и взамен морально устаревшего VGA пришли чисто цифровые видеоинтерфейсы, сначала DVI-D , затем HDMI и DisplayPort. Мое знакомство с HDMI началось вот с этой статьи - http://www.fpga4fun.com/HDMI.html. ...
Создано 19 августа 2015
11. Текстовый VGA-модуль на VHDL
(Проекты Altera Quartus II для платы Марсоход2)
... формируется сигнал display_en, принимающий единичные значения тогда, когда состояние адаптера соответствует одной из видимых на экране точек. В этом случае цветовым компонентам будут назначаться некоторые ...
Создано 17 октября 2014
12. Интерфейс Verilog VPI
(Разное)
... system tasks, например, $display, $monitor, $finish. Про некоторые из них я уже писал. Интерфейс VPI призван облегчить отладку, дать разработчикам возможность добавлять новую функциональность для отладки ...
Создано 24 января 2014
13. Сбор данных от датчиков на плате Марсоход2
(Проекты Altera Quartus II для платы Марсоход2)
 Сейчас в моде всякие системы типа «умный дом». Предполагается, что дом или квартира или другое помещение напичканы разными датчиками и сенсорами. Информация от датчиков должна поступать в центр принятия ...
Создано 08 октября 2013
14. Verilog Gotchas
(Разное)
Возможно немного странная тема, но думаю кому нибудь будет интересно. Недавно набрел в интернетах на статьи авторов – это Stuart Sutherland, Don Mills и Chris Spear. Сами статьи на английском можно ...
Создано 06 марта 2013
15. Отладка Linux в Amber SoC
(ARM System-on-Chip)
...       prev_a = u_system.u_amber.u_decode.instruction_address;   if(u_system.u_amber.u_decode.instruction_address==32'h209c9f4)             begin                 ena_rec = ena_rec+1;                 $display("do_ ...
Создано 09 декабря 2012
16. Симуляция проекта Amber23 для платы Марсоход2. Исполнение программы Hello-World!
(Исходный код)
... s forever #6.25 clk_80mhz = ~clk_80mhz; end initial begin $dumpfile("out.vcd"); $dumpvars(0,tb); $display("reseting.."); sysrst = 1'd0; #10000  ...
Создано 24 сентября 2012
17. Управление платой Марсоход через JTAG
(Проекты Altera Quartus II для платы Марсоход)
... нее много возможностей: и большой емкий чип FPGA, и куча интерфейсов: ethernet, flash, graphics, character display, DDR, и т.д. Даже USB интерфейса вроде бы два: есть микросхема FTDI и есть микросхема ...
Создано 28 октября 2011
18. Исходный код тестбенча для мПЛИС (проект ПЛИС внутри ПЛИС)
(Исходный код)
...    initial begin     //load "cpld image" into temprorary array     $readmemb("image_shift.dat",value);     $display("mini_cpld image file");     for(i=0; ...
Создано 20 июня 2011
19. ПЛИС внутри ПЛИС
(Проекты Altera Quartus II для платы Марсоход)
... ;  $display("mini_cpld image file");  for(i=0; i gtkwave out.vcd При симуляции проекта мПЛИС ведет себя по-разному в зависимости какой image.dat дашь симулятору. Тестбенч считывает его и загружает  ...
Создано 19 июня 2011
20. Подключение дисплея WH0802 к компьютеру через COM- порт
(Проекты Altera Quartus II для платы Марсоход)
... . Если два раза выполнить "copy 13.bin /b comX", то в позиции курсора появится символ "3" (код 33h). В качестве примера, я сделал файл "show.bat" в котором выполняется инициализация и выводится образ ...
Создано 14 апреля 2011
  • В начало
  • Назад
  • 1
  • 2
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
      • Amber ARM SoCAmber ARM SoC
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

отладка программатор FPGA Часики LEDs тестбенч synchronizer частотомер JTAG testbench компиляция ядра Wizart dumpvars плата Марсоход Verilog бренд Испытание Quartus II v12 0 SP1 схема гитара ПЛИС пила Спектр сигнала САПР Презентация Gray counter RTL Viewer DETFF двигатели программатор MBFTDI FTDI phase shift небо робот toolchain MIPSfpga последовательный порт MBFTDI работающего в Ubuntu Linux кошка Yosys ffmpeg front edge RS-232 взято с хабра DipTrace latch USB-JTAG MBFTDI Programming Device AMBER SoC Воспроизведение звука

Комментарии

  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Nereida 19.01.2021 20:42
    I do not even know how I ended up here, but I thought this post was great. I do not know who you are ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Lukas 19.01.2021 13:32
    Truly when someone doesn't understand then its up to other viewers that they will help, so here it ...

    Подробнее...

     
  • Частотомер

    Meghan 19.01.2021 12:46
    Hi there, I discovered your website by the use of Google at the same time as looking for a comparable ...

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 11:51
    Так. Проблема во мне. Я не той стороной вставил плату. Можно удалить мой предыдущий коммент.

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 08:56
    Я короче, купил эту плату со встроенным чипом памяти. Включил. И тут чип памяти, походу, короткое ...

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама