Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

Quartus Prime связь через USB FPGA тестбенч TFilter Android USB function always Марсоход Altera модуляция Quartus II плата Марсоход сигналы USB шины RTLViewer Введение в Verilog WS2812B state machine примеры проектов Connector shield Verilog simulator USB HOST Verilog HDL Etherium Видеоигра Питон UsbBlaster БЛОГ о ПЛИС Icarus USB программатор робот Mobile Phone 1 с программатором MBFTDI фронт сигнала Реализация HDMI в ПЛИС Продуваем форсунки Ethernet пакет MAX10 Марсоход2 Артефакты разработчика latch Cyclone IV печатная плата Дешифратор counter ПЛИС Hardware Freedom Day FPGA Cyclone III день радио радио АЦП

Комментарии

  • Частотомер

    Jefferson 20.01.2021 06:57
    I was wondering if you ever thought of changing the layout of your website? Its very well written; I ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Riley 20.01.2021 02:20
    Spot on with this write-up, I absolutely believe this amazing site needs much more attention. I'll ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Nereida 19.01.2021 20:42
    I do not even know how I ended up here, but I thought this post was great. I do not know who you are ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Lukas 19.01.2021 13:32
    Truly when someone doesn't understand then its up to other viewers that they will help, so here it ...

    Подробнее...

     
  • Частотомер

    Meghan 19.01.2021 12:46
    Hi there, I discovered your website by the use of Google at the same time as looking for a comparable ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Язык описания аппаратуры Verilog HDL

Результат поиска: найдено 34 объектов.

Совпадение
Ограничение области поиска
1. Симуляция usbhost контроллера
(FPGA & Verilog блог)
В предыдущей статье я рассказал, как запустил свой собственный велосипед USB хост контроллер и как он работает в железе в плате Марсоход3. Там я в основном рассказывал, как взаимодействует управляющая ...
Создано 18 марта 2020
2. Реверс инжиниринг микросхемы RGB светодиода WS2812B
(Проекты Altera Quartus II для платы Марсоход)
s7GkTgyDVCc Приближается Новый Год! Мы поздравляем читателей нашего сайта с этим праздником! НО, сегодня нам нужен Новогодний FPGA Проект. У нас уже чего только не было на сайте: и двигалось и светило ...
Создано 31 декабря 2019
3. Создание toolchain с помощью crosstool-NG
(FPGA & Verilog блог)
... g -o qqq -g2005 -DICARUS=1 -DAMBER_A23_CORE=1 -DNOMEMORY=1 -csrclist -I../../../vlog/system/ -I../../../vlog/amber23/ -I../../../vlog/tb/ Запускаю симулятор Icarus Verilog: vvp qqq VCD info: dumpfi ...
Создано 28 июля 2019
4. Управление шаговым двигателем 28byj-48 из ПЛИС на Verilog
(FPGA & Verilog блог)
Честно говоря у нас на сайте уже была статья про двигатели. В той статье было кратко рассказано про коллекторные и шаговые двухфазные и трехфазные двигатели. Там же был и проект Quartus для управления ...
Создано 01 апреля 2019
5. Управление светодиодной лентой
(Проекты Intel Quartus Prime для платы Марсоход3)
ZoJbefrVJIM Поскольку я делаю новогодний проект "Цветомузыка", то мне нужны для нее цветные управляемые огни / лампы / светодиоды. Тут я вспомнил, что у нас есть светодиодная лента. Сперва нужно научиться ...
Создано 25 декабря 2018
6. Цифровой КИХ фильтр на Verilog для цветомузыки
(Разное)
В предыдущей статье я писал об изготовлении самодельного микрофонного шилда к плате Марсоход3bis. Для чего мне понадобилась такая плата? Мне захотелось сделать "новогодний проект" - "Цветомузыка". Я ...
Создано 24 декабря 2018
7. Разворот бит в шине на Verilog
(Язык описания аппаратуры Verilog HDL)
Казалось бы простая задача: как развернуть биты в шине так, чтоб младший бит стал старшим, а старший самым младшим? Первое, что приходит на ум: написать вот так: reg [7:0]src; //reverse? wire [0:7]re1; ...
Создано 23 сентября 2018
8. Как вернуть скрипту результат симуляции Verilog?
(Разное)
Появилась простая задача - нужно из скрипта (batch/Windows или bash/Linux) проанализировать результат симуляции Verilog. Причем желательно, чтобы решение работало с разными симуляторами: icarus и modelsim ...
Создано 11 сентября 2018
9. Симуляция АЦП в ПЛИС MAX10.
(FPGA & Verilog блог)
Есть простой способ симуляции АЦП, встроенной в ПЛИС Intel MAX10. Если сказать точнее, то существует простой способ симулировать выходные данные АЦП в среде ModelSim. Сейчас расскажу, как это сделать. ...
Создано 13 марта 2017
10. Как симулировать проекты Quartus Prime выполненные в схеме?
(Разное)
... k; wire [7:0]led; reg k0; reg k1; initial begin   //$dumpfile("tb.vcd");   //$dumpvars(0, tb);   k0 = 1'b1;   k1 = 1'b1;   #200;   k0 = 1'b0;   #200;   k0 = 1'b1;   #200;   k1 = 1'b0;   #200;   k1 = 1'b ...
Создано 21 января 2017
11. КИХ фильтр на Verilog
(Разное)
В этой статье я хочу рассказать о своих экспериментах по созданию простого параметрического цифрового КИХ фильтра на Verilog HDL. До сегодняшнего дня я старался избегать тем цифровой обработки сигналов ...
Создано 25 апреля 2016
12. Простой генератор псевдослучайных чисел
(Проекты Intel Quartus Prime для платы Марсоход3)
Давно ничего не писал в блоге Марсохода - много всякого навалилось, всякие дела, командировка, встречи.. Вот решил восполнить пробел и сделать очень простой проект. Простые проекты ведь тоже нужны, особенно ...
Создано 14 марта 2016
13. Фотографирование: пульт дистанционного управления к Canon EOS 650D
(Проекты Altera Quartus II для платы Марсоход)
Мое фото: Canon EOS 650D: f/4, 18 мм, 949 сек, ISO-200 (10 км от города и небо сильно засвечено). Захотелось мне пофотографировать звезды на длинных выдержках. Так, чтобы оставить фотоаппарат неподвижным ...
Создано 28 сентября 2015
14. FM радио передатчик из платы Марсоход2
(Проекты Altera Quartus II для платы Марсоход2)
7 мая (25 апреля по старому стилю) 1895 года на заседании Русского физико-химического общества российский физик Александр Степанович Попов выступил с докладом и демонстрацией созданного им первого в мире ...
Создано 06 мая 2013
15. Сумматор с переносом на Verilog HDL
(Язык описания аппаратуры Verilog HDL)
... wire r_carry; //instance of module being studied adder adder_inst(   .a(var_a),   .b(var_b),   .out(r_out),   .carry(r_carry) ); initial begin   $dumpfile("out.vcd");   $dumpvars(0,testbench);   var_ ...
Создано 04 марта 2013
16. Проект-исследование счетчика на DET Flip-Flop
(Проекты Altera Quartus II для платы Марсоход2)
Как известно, все цифровые схемы строятся по общему принципу «машины состояния». Вся схема проекта состоит как-бы из двух частей: регистров, которые хранят текущее состояние системы и комбинационной логики, ...
Создано 17 ноября 2012
17. Симуляция проекта Amber с Icarus Verilog.
(ARM System-on-Chip)
... m/ -I../../vlog/amber23/ -I../../vlog/tb/ c:\Altera\Amber-Marsohod2\hw\marsohod2\my_tb>vvp qqq VCD info: dumpfile out.vcd opened for output. reseting.. Load boot memory from hello-world.mem Read in 951 li ...
Создано 24 сентября 2012
18. Симуляция проекта Amber23 для платы Марсоход2. Исполнение программы Hello-World!
(Исходный код)
... s forever #6.25 clk_80mhz = ~clk_80mhz; end initial begin $dumpfile("out.vcd"); $dumpvars(0,tb); $display("reseting.."); sysrst = 1'd0; #10000  ...
Создано 24 сентября 2012
19. Реализация SIN и COS на Verilog
(Язык описания аппаратуры Verilog HDL)
Язык описания аппаратуры Verilog HDL очень часто используется для проектирования аппаратуры с интенсивными математическими вычислениями. Алгоритмы БПФ (Быстрое Преобразование Фурье), ДКП (Дискретное ...
Создано 22 мая 2012
20. Счетчик в коде Грея
(Язык описания аппаратуры Verilog HDL)
Давайте подумаем, как на языке Verilog можно описать счетчик в коде Грея (Gray code). Такой счетчик может нам понадобиться для реализации асинхронного FIFO. В кодах Грея соседние значения меняются только ...
Создано 12 апреля 2012
  • В начало
  • Назад
  • 1
  • 2
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

MAX II Реализация HDMI в ПЛИС testbench CPLD InnovativeFPGA MAX10 ffmpeg Altera Quartus II FIFO MIDI-синтезатор ПЛИС спектр MBFTDI icarus-verilog кормушка Проект MIPSfpga boot-loader USB function blakecoin sine wave триггер Gray code MPSSE FT2232 Verilator симуляция проекта Altera Icarus Verilog Raspberry Pi3 Buttons JTAG Дешифратор управление USB приемник update FPGA Машина мыльных пузырей D-триггер Verilog поразительно ПЛИС Altera Зависимости цифровая логика телескоп Отладка Linux в Amber SoC ZX Spectrum описание схем стабильность FTDI2232HL Bitbang mode солнечное затмение

Комментарии

  • Частотомер

    Jefferson 20.01.2021 06:57
    I was wondering if you ever thought of changing the layout of your website? Its very well written; I ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Riley 20.01.2021 02:20
    Spot on with this write-up, I absolutely believe this amazing site needs much more attention. I'll ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Nereida 19.01.2021 20:42
    I do not even know how I ended up here, but I thought this post was great. I do not know who you are ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Lukas 19.01.2021 13:32
    Truly when someone doesn't understand then its up to other viewers that they will help, so here it ...

    Подробнее...

     
  • Частотомер

    Meghan 19.01.2021 12:46
    Hi there, I discovered your website by the use of Google at the same time as looking for a comparable ...

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама