Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
      • Amber ARM SoCAmber ARM SoC
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

не реклама Игра Жизнь внутри ПЛИС передача пакета ПЛИС Raspberry Pi3 testbench Verilog Yosys Open SYnthesis Suite Intel Brainfuck clock domain которые меня беспокоили драйвер Altera Цап R2R - нюансы Tkinter Serial Интерфейс Verilog VPI шаговый двигатель компиляция ядра логический анализатор hello world Scratch фоторамка SignalTap Кое-что новенькое марсоход2 Марсоход2bis Android CPLD баг Испытание Quartus II v12 0 SP1 Альтера blakecoin MIPSfpga измерение Icarus Verilog boot-loader synchronizer VHDL Half-step AMBER SoC MAX10 цветомузыка instruction set САПР шифр Телескоп БТА Архыз Обновление сайта MAX II

Комментарии

  • Quartus II. Часть3. Регистровая логика.

    cialis pills 22.01.2021 04:25
    [censored] pills: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

     
  • КИХ фильтр на Verilog

    Clint 22.01.2021 03:08
    I invite you to take a small break in yоur ɗay tо join mе in a zen and full οf sweetness. Stоp ƅy my ...

    Подробнее...

     
  • Частотомер

    Tesha 21.01.2021 21:34
    Hi to every one, the contents present at this website are really awesome for people experience, well ...

    Подробнее...

     
  • USB-JTAG MBFTDI Programming Device

    herbal cialis 21.01.2021 19:45
    herbal [censored]: tadalafili.com/ (https://tadalafili.com/)

    Подробнее...

     
  • Расчет коэффициентов компенсационного FIR фильтра в GNU Octave.

    Clifford 21.01.2021 13:21
    This is my first time go to see at here and i am genuinely pleassant to read all at one place. my ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Проекты Altera Quartus II для платы Марсоход2

Результат поиска: найдено 32 объектов.

Совпадение
Ограничение области поиска
1. Симуляция usbhost контроллера
(FPGA & Verilog блог)
В предыдущей статье я рассказал, как запустил свой собственный велосипед USB хост контроллер и как он работает в железе в плате Марсоход3. Там я в основном рассказывал, как взаимодействует управляющая ...
Создано 18 марта 2020
2. Реверс инжиниринг микросхемы RGB светодиода WS2812B
(Проекты Altera Quartus II для платы Марсоход)
s7GkTgyDVCc Приближается Новый Год! Мы поздравляем читателей нашего сайта с этим праздником! НО, сегодня нам нужен Новогодний FPGA Проект. У нас уже чего только не было на сайте: и двигалось и светило ...
Создано 31 декабря 2019
3. Управление шаговым двигателем 28byj-48 из ПЛИС на Verilog
(FPGA & Verilog блог)
Честно говоря у нас на сайте уже была статья про двигатели. В той статье было кратко рассказано про коллекторные и шаговые двухфазные и трехфазные двигатели. Там же был и проект Quartus для управления ...
Создано 01 апреля 2019
4. Управление светодиодной лентой
(Проекты Intel Quartus Prime для платы Марсоход3)
ZoJbefrVJIM Поскольку я делаю новогодний проект "Цветомузыка", то мне нужны для нее цветные управляемые огни / лампы / светодиоды. Тут я вспомнил, что у нас есть светодиодная лента. Сперва нужно научиться ...
Создано 25 декабря 2018
5. Цифровой КИХ фильтр на Verilog для цветомузыки
(Разное)
В предыдущей статье я писал об изготовлении самодельного микрофонного шилда к плате Марсоход3bis. Для чего мне понадобилась такая плата? Мне захотелось сделать "новогодний проект" - "Цветомузыка". Я ...
Создано 24 декабря 2018
6. Разворот бит в шине на Verilog
(Язык описания аппаратуры Verilog HDL)
Казалось бы простая задача: как развернуть биты в шине так, чтоб младший бит стал старшим, а старший самым младшим? Первое, что приходит на ум: написать вот так: reg [7:0]src; //reverse? wire [0:7]re1; ...
Создано 23 сентября 2018
7. Как вернуть скрипту результат симуляции Verilog?
(Разное)
Появилась простая задача - нужно из скрипта (batch/Windows или bash/Linux) проанализировать результат симуляции Verilog. Причем желательно, чтобы решение работало с разными симуляторами: icarus и modelsim ...
Создано 11 сентября 2018
8. Симуляция АЦП в ПЛИС MAX10.
(FPGA & Verilog блог)
Есть простой способ симуляции АЦП, встроенной в ПЛИС Intel MAX10. Если сказать точнее, то существует простой способ симулировать выходные данные АЦП в среде ModelSim. Сейчас расскажу, как это сделать. ...
Создано 13 марта 2017
9. Как симулировать проекты Quartus Prime выполненные в схеме?
(Разное)
... k; wire [7:0]led; reg k0; reg k1; initial begin   //$dumpfile("tb.vcd");   //$dumpvars(0, tb);   k0 = 1'b1;   k1 = 1'b1;   #200;   k0 = 1'b0;   #200;   k0 = 1'b1;   #200;   k1 = 1'b0;   #200;   k1 = 1'b ...
Создано 21 января 2017
10. КИХ фильтр на Verilog
(Разное)
В этой статье я хочу рассказать о своих экспериментах по созданию простого параметрического цифрового КИХ фильтра на Verilog HDL. До сегодняшнего дня я старался избегать тем цифровой обработки сигналов ...
Создано 25 апреля 2016
11. Простой генератор псевдослучайных чисел
(Проекты Intel Quartus Prime для платы Марсоход3)
Давно ничего не писал в блоге Марсохода - много всякого навалилось, всякие дела, командировка, встречи.. Вот решил восполнить пробел и сделать очень простой проект. Простые проекты ведь тоже нужны, особенно ...
Создано 14 марта 2016
12. Фотографирование: пульт дистанционного управления к Canon EOS 650D
(Проекты Altera Quartus II для платы Марсоход)
Мое фото: Canon EOS 650D: f/4, 18 мм, 949 сек, ISO-200 (10 км от города и небо сильно засвечено). Захотелось мне пофотографировать звезды на длинных выдержках. Так, чтобы оставить фотоаппарат неподвижным ...
Создано 28 сентября 2015
13. FM радио передатчик из платы Марсоход2
(Проекты Altera Quartus II для платы Марсоход2)
7 мая (25 апреля по старому стилю) 1895 года на заседании Русского физико-химического общества российский физик Александр Степанович Попов выступил с докладом и демонстрацией созданного им первого в мире ...
Создано 06 мая 2013
14. Сумматор с переносом на Verilog HDL
(Язык описания аппаратуры Verilog HDL)
... wire r_carry; //instance of module being studied adder adder_inst(   .a(var_a),   .b(var_b),   .out(r_out),   .carry(r_carry) ); initial begin   $dumpfile("out.vcd");   $dumpvars(0,testbench);   var_ ...
Создано 04 марта 2013
15. Проект-исследование счетчика на DET Flip-Flop
(Проекты Altera Quartus II для платы Марсоход2)
Как известно, все цифровые схемы строятся по общему принципу «машины состояния». Вся схема проекта состоит как-бы из двух частей: регистров, которые хранят текущее состояние системы и комбинационной логики, ...
Создано 17 ноября 2012
16. Симуляция проекта Amber23 для платы Марсоход2. Исполнение программы Hello-World!
(Исходный код)
... s forever #6.25 clk_80mhz = ~clk_80mhz; end initial begin $dumpfile("out.vcd"); $dumpvars(0,tb); $display("reseting.."); sysrst = 1'd0; #10000  ...
Создано 24 сентября 2012
17. Реализация SIN и COS на Verilog
(Язык описания аппаратуры Verilog HDL)
Язык описания аппаратуры Verilog HDL очень часто используется для проектирования аппаратуры с интенсивными математическими вычислениями. Алгоритмы БПФ (Быстрое Преобразование Фурье), ДКП (Дискретное ...
Создано 22 мая 2012
18. Счетчик в коде Грея
(Язык описания аппаратуры Verilog HDL)
Давайте подумаем, как на языке Verilog можно описать счетчик в коде Грея (Gray code). Такой счетчик может нам понадобиться для реализации асинхронного FIFO. В кодах Грея соседние значения меняются только ...
Создано 12 апреля 2012
19. Преобразование кода Грея в двоичное число
(Язык описания аппаратуры Verilog HDL)
... clk=0; always    #10 clk= ~clk; reg  [3:0]gr; wire [3:0]b1; gray2bin_v1 my_gr1(     .gray(gr),     .bin(b1)     ); initial begin $dumpfile("out.vcd"); $dumpvars(-1, test); gr=4'b0000; @(posedge clk ...
Создано 12 апреля 2012
20. Демультиплексор
(Язык описания аппаратуры Verilog HDL)
Демультиплексор выполняет функцию обратную мультиплексору - "подключает" входной сигнал к нужному выходному, номер которого задается селектором. Если входной сигнал - логическая единица, то он ведет ...
Создано 05 декабря 2011
  • В начало
  • Назад
  • 1
  • 2
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
      • Amber ARM SoCAmber ARM SoC
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

bin counter печатные платы USB11 Host конденсатор АЦП JTAG ПЛИС Full-step дешифратор FPGA Quartus II теннис синхронная логика схема Триггер пульт ДУ игра Змейка видео игра Теннис тестбенч Amber спектр GNU Octave Проект машинки Марсоход плата Марсоход Летающая тарелка синхронное ФИФО Python AHDL Двигатели RTL Viewer Демультиплексор UsbBlaster симуляция Cyclone IV Альтера Gray counter Verilog HDL OpenOCD ModelSim программатор Altera RTLViewer Serial Port Астротрекер Синтез звука Введение в Verilog Verilog ИК управление алгоритм FIFO

Комментарии

  • Quartus II. Часть3. Регистровая логика.

    cialis pills 22.01.2021 04:25
    [censored] pills: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

     
  • КИХ фильтр на Verilog

    Clint 22.01.2021 03:08
    I invite you to take a small break in yоur ɗay tо join mе in a zen and full οf sweetness. Stоp ƅy my ...

    Подробнее...

     
  • Частотомер

    Tesha 21.01.2021 21:34
    Hi to every one, the contents present at this website are really awesome for people experience, well ...

    Подробнее...

     
  • USB-JTAG MBFTDI Programming Device

    herbal cialis 21.01.2021 19:45
    herbal [censored]: tadalafili.com/ (https://tadalafili.com/)

    Подробнее...

     
  • Расчет коэффициентов компенсационного FIR фильтра в GNU Octave.

    Clifford 21.01.2021 13:21
    This is my first time go to see at here and i am genuinely pleassant to read all at one place. my ...

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама