Язык описания аппаратуры Verilog HDL
- 1. Язык описания аппаратуры Verilog HDL
- (Категория)
- ... тема, тем не менее связанная с Verilog - это симуляция проектов. Мы рекомендуем для функциональной симуляции проектов простое и эффективное средство Icarus Verilog + GtkWave - это компилятор, симулятор ...
- Создано
- 2. Симуляция системы на кристале Amber ARM v2a SoC с помощью Verilator
- (ARM System-on-Chip)
- ... даже симулировал этот проект в Icarus Verilog, но работала та симуляция чрезвычайно медленно. Это то, что нужно. Я попробую теперь симулировать этот же Amber SoC с помощью Verilator. Посмотрим насколько ...
- Создано 06 Декабрь 2019
- 3. Verilator
- (FPGA & Verilog блог)
- Я уже писал про симуляцию Verilog HDL проектов в ModelSim и с помощью Icarus Verilog. Однако, конечно, существуют и другие средства. Один из самых быстрых симуляторов, и к тому же свободный и бесплатный, ...
- Создано 26 Ноябрь 2019
- 4. Создание toolchain с помощью crosstool-NG
- (FPGA & Verilog блог)
- ... а процессоре в симуляторе Icarus Verilog. Напомню, что исходные тексты Verilog системы на кристалле с процессором ARM v2a можно взять на моей странице github: https://github.com/marsohod4you/Amber-Marsoho ...
- Создано 28 Июль 2019
- 5. Цветомузыка в FPGA
- (Проекты Intel Quartus Prime для платы Марсоход3)
- ... в icarus verilog 3) управление светодиодной лентой. ...
- Создано 30 Декабрь 2018
- 6. Цифровой КИХ фильтр на Verilog для цветомузыки
- (Разное)
- ... _addr <= wr_addr + 1; wire signed [15:0]odata; //cyclic buffer for samples `ifdef ICARUS dp_mem_1clk_p #( .DATA_WIDTH(16), .ADDR_WIDTH(9), .RAM_DEPTH(1 << 9) )mem_samples ( ...
- Создано 24 Декабрь 2018
- 7. Разворот бит в шине на Verilog
- (Язык описания аппаратуры Verilog HDL)
- ... Симулятор icarus verilog так же на эту строку дает ошибку - что-то вроде "sorry, streaming operators are not supported". Чтобы не быть голословным, объединяю все описанные выше методы в один Verilog Testbenc ...
- Создано 23 Сентябрь 2018
- 8. Modelsim под Windows и Verilog VPI
- (Разное)
- ... симулятор Icarus Verilog под Ubuntu Linux. Связка языка Verilog и языка C хоть и получается немного мудреная, но дает дополнительные возможности для симуляции. Получается можно определить свою системную ...
- Создано 18 Сентябрь 2018
- 9. Как вернуть скрипту результат симуляции Verilog?
- (Разное)
- Появилась простая задача - нужно из скрипта (batch/Windows или bash/Linux) проанализировать результат симуляции Verilog. Причем желательно, чтобы решение работало с разными симуляторами: icarus и modelsim ...
- Создано 11 Сентябрь 2018
- 10. Майнер с алгоритмом Blake
- (Проекты Intel Quartus Prime для платы Марсоход3)
- ... ответов. Пробовать cgminer проще всего из Linux Ubuntu. Чтобы скомпилировать проект зайдите в папку cgminer и запустите: #./configure --enable-icarus --without-curses #make Перед запуском майнера нужно ...
- Создано 04 Март 2018
- 11. Запуск тестовых программ в SoC MIPSfpga на плате Марсоход3
- (MIPSfpga в плате Марсоход3)
- ... тся для функциональной симуляции с помощью Icarus Verilog или ModelSim. Программа принимает байт из последовательного порта, отображает его на светодиодах и семисегментном индикаторе и отправляет его ...
- Создано 18 Ноябрь 2017
- 12. КИХ фильтр на Verilog
- (Разное)
- ... 1000; end $finish; end endmodule Симуляцию провожу в icarus verilog. Компилирую: > iverilog -o qqq testbench.v fir.v Симулирую: > vvp qqq Смотрю временные диаграммы в GtkWav ...
- Создано 25 Апрель 2016
- 13. Простой генератор псевдослучайных чисел
- (Проекты Intel Quartus Prime для платы Марсоход3)
- ... нерации следующего числа и начинает новую передачу u_serial.sbyte_rdy( w_eos ). Для симуляции использую Icarus Verilog. Компилирую: iverilog -o outfile tb.v serial.v lfsr.v Симулирую: vvp outfile В ре ...
- Создано 14 Март 2016
- 14. Сложности разработки USB хоста для проекта USBTerm.
- (Проект графического терминала USBTerm)
- ... написан тестбенч, который помогает посмотреть как оно должно работать. Я использую icarus verilog для функциональной симуляции проекта. Исходники можно скачать на github проекта: https://github.com/marsohod4you/UsbHwThinClient4Vm ...
- Создано 23 Февраль 2016
- 15. Как работает видеоадаптер проекта USBTerm
- (Проект графического терминала USBTerm)
- ... Дальше нужно показать как же фифо работает в реальной системе. Эта временная диаграмма получена в результате симуляции проекта с помощью Icarus Verilog. Модуль проекта videomem_rd_req следит за ...
- Создано 21 Январь 2016
- 16. Видеоадаптер для проекта USBTerm
- (Проекты Intel Quartus Prime для платы Марсоход3)
- ... развертка, отображается изображение из памяти. Коротко расскажу, как я веду разработку. Обычно мои инструменты - это текстовый редактор notepad++, симулятор icarus verilog, программа для просмотра ...
- Создано 07 Декабрь 2015
- 17. Фотографирование: пульт дистанционного управления к Canon EOS 650D
- (Проекты Altera Quartus II для платы Марсоход)
- ... ти импульсов и паузы между пачками сигналов именно такие, как я хочу. Я использую простой симулятор Icarus Verilog. Из командной строки вызываю команду компиляции: >iverilog -o myout canon_rc6.v ...
- Создано 28 Сентябрь 2015
- 18. Как мы участвовали в конкурсе More then Moore, но не выиграли
- (Разное)
- ... Quartus II, svn/git, icarus.. а тут PowerPoint какой-то. Кроме того, большой проблемой оказалось время - текст презентации пришлось переписывать 3 раза, удаляли все вводные слова, предложения с дублирующим ...
- Создано 17 Декабрь 2014
- 19. Интерфейс Verilog VPI
- (Разное)
- ... свободного отладчика Icarus Verilog. Предположим, мне нужен модуль на C взаимодействующий с отладчиком Verilog. Я хочу из Verilog передавать числовые значения в модуль на C и еще хочу читать какие-то ...
- Создано 24 Январь 2014
- 20. Графический дизайн или текст Verilog/VHDL?
- (Разное)
- ... проектов Verilog / VHDL. Есть открытый проект Icarus Verilog для функциональной симуляции проектов. Я им сам часто пользуюсь - очень простой инструмент. Интересно, что производители многих микросхем предоставляют ...
- Создано 30 Май 2013
Подробнее...