Язык описания аппаратуры Verilog HDL
- 1. Цифровой КИХ фильтр на Verilog для цветомузыки
- (Разное)
- ... ый, гигабайты. Это и медленно и не удобно. Теперь покажу, что получилось в результате симуляции. Как обычно, я запускаю компилятор Icarus Verilog, затем симулятор икаруса и GtkWave: >iverilog -DI ...
- Создано 24 Декабрь 2018
- 2. Modelsim под Windows и Verilog VPI
- (Разное)
- ... переменную указывающую на папку с икарусом (у вас путь может быть другим) set IVERILOG=d:\programs\iverilog 4) компилирую и линкую исходник с помощью компилятора gcc из пакета MINGW64 (получится библиотека ...
- Создано 18 Сентябрь 2018
- 3. Как вернуть скрипту результат симуляции Verilog?
- (Разное)
- ... us verilog, то run_ivl_test.bat файл может выглядеть вот так: del result.txt set SimResult= iverilog -o qqq tb.v counter.v vvp qqq set /p SimResult=<result.txt echo %SimResult% IF "%SimResult%" = ...
- Создано 11 Сентябрь 2018
- 4. КИХ фильтр на Verilog
- (Разное)
- ... 1000; end $finish; end endmodule Симуляцию провожу в icarus verilog. Компилирую: > iverilog -o qqq testbench.v fir.v Симулирую: > vvp qqq Смотрю временные диаграммы в GtkWav ...
- Создано 25 Апрель 2016
- 5. Простой генератор псевдослучайных чисел
- (Проекты Altera Quartus Prime для платы Марсоход3)
- ... нерации следующего числа и начинает новую передачу u_serial.sbyte_rdy( w_eos ). Для симуляции использую Icarus Verilog. Компилирую: iverilog -o outfile tb.v serial.v lfsr.v Симулирую: vvp outfile В ре ...
- Создано 14 Март 2016
- 6. Видеоадаптер для проекта USBTerm
- (Проекты Altera Quartus Prime для платы Марсоход3)
- ... с помощью icarus verilog его довольно просто симулировать. Результат компиляции - файл qqq (задается через параметр "-o" к iverilog). Запускаю симулятор икаруса: vvp qqq. Собственно симуляция проекта ...
- Создано 07 Декабрь 2015
- 7. Фотографирование: пульт дистанционного управления к Canon EOS 650D
- (Проекты Altera Quartus II для платы Марсоход)
- ... ти импульсов и паузы между пачками сигналов именно такие, как я хочу. Я использую простой симулятор Icarus Verilog. Из командной строки вызываю команду компиляции: >iverilog -o myout canon_rc6.v ...
- Создано 28 Сентябрь 2015
- 8. Интерфейс Verilog VPI
- (Разное)
- ... скомпилировать. Я пользуюсь очень простым симулятором Icarus Verilog. Если у Вас рабочая операционная система – это Linux (Ubuntu), то установить Icarus Verilog очень просто: % sudo apt-get install iveri ...
- Создано 24 Январь 2014
- 9. Сумматор с переносом на Verilog HDL
- (Язык описания аппаратуры Verilog HDL)
- ... sp; $finish; end endmodule Откомпилировать оба модуля, сумматор и тестбенч, легко с помощью IcarusVerilog, в командной строке: > iverilog –o qqq adder.v tb.v Потом симулируем икарусом: > vvp qq ...
- Создано 04 Март 2013
- 10. Симуляция проекта Amber с Icarus Verilog.
- (ARM System-on-Chip)
- ... us Verilog. По сути дела там всего одна длинная команда: iverilog -o qqq -g2005 -DICARUS=1 -DAMBER_A23_CORE=1 -DNOMEMORY=1 -csrclist -I../../vlog/system/ -I../../vlog/amber23/ -I../../vlog/tb/ tb.v – ...
- Создано 24 Сентябрь 2012
- 11. Реализация SIN и COS на Verilog
- (Язык описания аппаратуры Verilog HDL)
- ... odule Для симуляции я использую Icarus Verilog. Запускаю в командной строке компилятор Verilog: >iverilog –o qqq testbemch.v Затем запускаю симулятор: >vvp qqq Теперь можно ...
- Создано 22 Май 2012
- 12. Преобразование кода Грея в двоичное число
- (Язык описания аппаратуры Verilog HDL)
- ... osedge clk); #0; $finish(); end endmodule Быстро просимулировать и посмотреть результат можно с помощью iverilog (Icarus Verilog), используя командную строку и GtkWave. Здесь же я приведу лишь получ ...
- Создано 12 Апрель 2012
- 13. Декодер
- (Язык описания аппаратуры Verilog HDL)
- ... mpfile("out.vcd"); $dumpvars(0,test); #200 $finish; end endmodule Симулируем "проект" из трех файлов с помощью icarus verilog: c:\Altera\marsohod\test_decoder>iverilog -o qqq test_ ...
- Создано 28 Ноябрь 2011
- 14. ПЛИС внутри ПЛИС
- (Проекты Altera Quartus II для платы Марсоход)
- ... что в моем проекте что-то не правильно, когда iverilog отказывался симулировать мой проект. Причем некоторые проекты-образы я тестбенчем спокойно загружал в мою мПЛИС и симулировал, а некоторые образы ...
- Создано 19 Июнь 2011
- 15. Умный дом
- (Проекты Altera Quartus II для платы Марсоход)
- ... verilog програмку-тестбенч. Она есть в архиве. Симулировать проект легко с помощью Icarus Verilog, вот так: >iverilog -o qqq ir_sendert.v serial.v ir_send_cmd.v >vvp qqq >gtkwave ...
- Создано 21 Март 2011
- 16. Симуляция проекта Теннис
- (Разное)
- ... же он есть внутри всего проекта для платы Марсоход выполненный в среде Altera Quartus II Теперь, пользуясь Icarus Verilog я могу откомпилировать тестбенч и мои модули и отсимулировать их: c:\altera\marsohod\max2tennisM>iverilog ...
- Создано 05 Март 2011
- 17. Тестбенч игры Теннис
- (Исходный код)
- //Verilog тестбенч игры "теннис для двоих" `timescale 10ns / 1ns module test; reg reset, clk; wire [7:0]char_count; wire [11:0]line_count; wire hsync; wire vsync; wire visible; //это ...
- Создано 05 Март 2011
- 18. Работа над ошибками. Теннис.
- (Проекты Altera Quartus II для платы Марсоход)
- ... - об этом сказано в спецификации VESA. Я переписал модуль hvsync.v и еще сделал к нему тестбенч для iverilog и gtkwave, что бы можно было внимательнее посмотреть, что же происходит на самом деле. Вот здесь ...
- Создано 20 Февраль 2011
- 19. Тестбенч приемника USB
- (Разное)
- ... йчас я использую пакет iverilog. Возьму из моего последнего USB проекта () файл исследуемого модуля ls_usb-recv.v и положу его рядом с файлом моего тестбенча text.v Запускаю из коммандной строки компи ...
- Создано 17 Январь 2011
- 20. Симулятор ModelSim
- (Разное)
- ... Потом я написал статью про симулятор iverilog – это простой open source симулятор – вполне приличная вещь. И вот теперь хочу кратко рассказать о программе ModelSim: ModelSim – это ...
- Создано 13 Декабрь 2010
Подробнее...