Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

Quartus II Micron Altera LEDs ALtera Cyclone III Blockchain UsbBlaster последовательный порт процессор ARM fork симуляция БИХ Введение в Verilog ИК приемник Дешифратор WEB интерфейс инструкция Quartus II AMBER SoC моторчик Описание протокола PS 2 для мыши и клавиатуры Pi-Zero Счетчики Как улучшить проект USB трит плата Марсоход Altera RTLViewer MAX10 winrad Цветомузыка в FPGA Изменения на сайте Ethernet crosstool-ng солнечное затмение Обновление статей MIPSfpga сигналы USB шины дешифратор счетчик SDRAM мотор MIPSfpga Интересное ПЛИС FPGA программатор MBFTDI шилд WS2812B Марсоход2RPI Пошаговая инструкция

Комментарии

  • Симуляция SDRAM контроллера в ModelSim

    Winnie 25.01.2021 13:17
    Somebody necessarily help to make severely posts I might state. This is the very first time I frequented ...

    Подробнее...

     
  • Первый запуск QuartusII Web Edition

    Ronny 25.01.2021 10:15
    Polecamy, promujemy Twoją pozycjonowanie stron koszt: postheaven.net/.../...

    Подробнее...

     
  • Введение в Verilog, Третий урок - арифметика и логика

    10 mg cialis cost 25.01.2021 00:48
    10 mg [censored] cost: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Maryjo 25.01.2021 00:28
    Thanks very nice blog!

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Vivian 24.01.2021 07:23
    Greetings from Los angeles! I'm bored to death at work so I decided to check out your site on my ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Результат поиска: найдено 38 объектов.

Совпадение
Ограничение области поиска
1. Шифровальная машина Энигма М3 в FPGA
(Проекты Quartus Prime для M02mini)
    Шифровальная машина Энигма была разработана еще до Второй мировой войны и использовалась как в коммерческих целях, так и в военных целях в армиях разных стран. Однако, именно нацистская Германия ...
Создано 27 сентября 2020
2. Симуляция usbhost контроллера
(FPGA & Verilog блог)
... x=idx+1; cmd_time[idx]=203219; cmd_val[idx]=8'h25; idx=idx+1; cmd_time[idx]=203222; cmd_val[idx]=8'h05; idx=idx+1; idx=0; end reg [32:0]counter=0; always @(posedge clock) begin counteriverilog -o ...
Создано 18 марта 2020
3. Реверс инжиниринг микросхемы RGB светодиода WS2812B
(Проекты Altera Quartus II для платы Марсоход)
s7GkTgyDVCc Приближается Новый Год! Мы поздравляем читателей нашего сайта с этим праздником! НО, сегодня нам нужен Новогодний FPGA Проект. У нас уже чего только не было на сайте: и двигалось и светило ...
Создано 31 декабря 2019
4. Создание toolchain с помощью crosstool-NG
(FPGA & Verilog блог)
... / cd ../../hw/marsohod2/my_tb/hello-world/ Компилирую скрипты симуляции Verilog, они будут читать mem файл нашей скомпилированной СИ-шной программы и исполнять ее на процессорве в симуляторе: iveril ...
Создано 28 июля 2019
5. Цифровой КИХ фильтр на Verilog для цветомузыки
(Разное)
В предыдущей статье я писал об изготовлении самодельного микрофонного шилда к плате Марсоход3bis. Для чего мне понадобилась такая плата? Мне захотелось сделать "новогодний проект" - "Цветомузыка". Я ...
Создано 24 декабря 2018
6. Modelsim под Windows и Verilog VPI
(Разное)
... переменную указывающую на папку с икарусом (у вас путь может быть другим) set IVERILOG=d:\programs\iverilog 4) компилирую и линкую исходник с помощью компилятора gcc из пакета MINGW64 (получится библиотека ...
Создано 18 сентября 2018
7. Как вернуть скрипту результат симуляции Verilog?
(Разное)
Появилась простая задача - нужно из скрипта (batch/Windows или bash/Linux) проанализировать результат симуляции Verilog. Причем желательно, чтобы решение работало с разными симуляторами: icarus и modelsim ...
Создано 11 сентября 2018
8. КИХ фильтр на Verilog
(Разное)
В этой статье я хочу рассказать о своих экспериментах по созданию простого параметрического цифрового КИХ фильтра на Verilog HDL. До сегодняшнего дня я старался избегать тем цифровой обработки сигналов ...
Создано 25 апреля 2016
9. Простой генератор псевдослучайных чисел
(Проекты Intel Quartus Prime для платы Марсоход3)
Давно ничего не писал в блоге Марсохода - много всякого навалилось, всякие дела, командировка, встречи.. Вот решил восполнить пробел и сделать очень простой проект. Простые проекты ведь тоже нужны, особенно ...
Создано 14 марта 2016
10. Видеоадаптер для проекта USBTerm
(Проекты Intel Quartus Prime для платы Марсоход3)
... просто симулировать. Результат компиляции - файл qqq (задается через параметр "-o" к iverilog). Запускаю симулятор икаруса: vvp qqq. Собственно симуляция проекта - это у меня довольно долгий процесс.  ...
Создано 07 декабря 2015
11. Фотографирование: пульт дистанционного управления к Canon EOS 650D
(Проекты Altera Quartus II для платы Марсоход)
... передается.  void Canon::shutterNow() {   for(int i=0; iiverilog -o myout canon_rc6.v testbench.v Теперь симуляции: >vvp myout Получаю файлы временных диаграмм *.vcd и смотрю их в GtkWave: >gtkwave ...
Создано 28 сентября 2015
12. Интерфейс Verilog VPI
(Разное)
... сь очень простым симулятором Icarus Verilog. Если у Вас рабочая операционная система – это Linux (Ubuntu), то установить Icarus Verilog очень просто: % sudo apt-get install iverilog Все готово. В принцип ...
Создано 24 января 2014
13. Сумматор с переносом на Verilog HDL
(Язык описания аппаратуры Verilog HDL)
...    var_a = 8'h99;   var_b = 8'h66;    #10;   $finish; end endmodule Откомпилировать оба модуля, сумматор и тестбенч, легко с помощью IcarusVerilog, в командной строке: > iverilog –o qqq adder. ...
Создано 04 марта 2013
14. Симуляция проекта Amber с Icarus Verilog.
(ARM System-on-Chip)
...  в проекте c.bat – командный файл запускающий компиляцию проекта с помощью Icarus Verilog. По сути дела там всего одна длинная команда: iverilog -o qqq -g2005 -DICARUS=1 -DAMBER_A23_CORE=1 -DNOMEMOR ...
Создано 24 сентября 2012
15. Реализация SIN и COS на Verilog
(Язык описания аппаратуры Verilog HDL)
Язык описания аппаратуры Verilog HDL очень часто используется для проектирования аппаратуры с интенсивными математическими вычислениями. Алгоритмы БПФ (Быстрое Преобразование Фурье), ДКП (Дискретное ...
Создано 22 мая 2012
16. Преобразование кода Грея в двоичное число
(Язык описания аппаратуры Verilog HDL)
...  можно с помощью iverilog (Icarus Verilog), используя командную строку и GtkWave. Здесь же я приведу лишь получившуюся временную диаграмму: Все работает правильно. Недостаток описанного выше примера м ...
Создано 12 апреля 2012
17. Декодер
(Язык описания аппаратуры Verilog HDL)
... ule my_decoder2(   input wire [2:0]addr,   output reg [7:0]selector ); always @*   selector = 8'b00000001 iverilog -o qqq test_dec.v my_decoder1.v my_decoder2.v c:\Altera\marsohod\test_decoder>vvp qqq  ...
Создано 28 ноября 2011
18. ПЛИС внутри ПЛИС
(Проекты Altera Quartus II для платы Марсоход)
Я хочу рассказать о своем новом проекте для платы Марсоход. Я попытался сделать проект своей маленькой ПЛИС, написать этот проект на Verilog  и реально запустить его внутри настоящей ПЛИС. Наверное ...
Создано 19 июня 2011
19. Умный дом
(Проекты Altera Quartus II для платы Марсоход)
... вот так: >iverilog -o qqq ir_sendert.v serial.v ir_send_cmd.v >vvp qqq >gtkwave out.vcd После этих команд компиляции и симуляции появится окно программы GtkWave и покажет временные диаграммы ...
Создано 21 марта 2011
20. Симуляция проекта Теннис
(Разное)
... же он есть внутри всего проекта для платы Марсоход выполненный в среде Altera Quartus II  Теперь, пользуясь Icarus Verilog я могу откомпилировать тестбенч и мои модули и отсимулировать их: c:\altera\marsohod\max2tennisM>iverilog ...
Создано 05 марта 2011
  • В начало
  • Назад
  • 1
  • 2
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

Марсоход3 Verilog HDL VHDL уроки Quartus II Фоторамка Часть1 Подключение TFT-панелей Атака управление bluetooth анимация игрушки River Raid Новый Год Jukebox Verilog примеры Altera FPGA Сериалфлэшлоадер Обертон Altera Quartus II бренд channel B Gray counter Hardware Freedom Day ЦАП Verilog Raspberry LEDs С Новым Годом! 2011! FORTH RS-232 JTAG терминал 7-segment memtest логические функции fopen Quartus Prime кросс-компилятор MAX II Quartus II MAX10 Симуляция Cyclone III USB Tracker магнит Волк-Коза-Капуста второй вариант Программное обеспечение Управление медиацентром последовательный порт

Комментарии

  • Симуляция SDRAM контроллера в ModelSim

    Winnie 25.01.2021 13:17
    Somebody necessarily help to make severely posts I might state. This is the very first time I frequented ...

    Подробнее...

     
  • Первый запуск QuartusII Web Edition

    Ronny 25.01.2021 10:15
    Polecamy, promujemy Twoją pozycjonowanie stron koszt: postheaven.net/.../...

    Подробнее...

     
  • Введение в Verilog, Третий урок - арифметика и логика

    10 mg cialis cost 25.01.2021 00:48
    10 mg [censored] cost: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Maryjo 25.01.2021 00:28
    Thanks very nice blog!

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Vivian 24.01.2021 07:23
    Greetings from Los angeles! I'm bored to death at work so I decided to check out your site on my ...

    Подробнее...

На форуме

  • Нет сообщений для показа