Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

ИК интерфейс программатор MBFTDI synchronizer Юбилей Да! Плата Марсоход для Scratch ИК управление ПЛИС Quartus II SignalTap Марсоход2RPI LEDs Marble Machine Отладка Linux в Amber SoC FT2232H Altera Jukebox always FTDI Марсоход2 CodeBench САПР луч видеосигнала Фоторамка Часть2 светодиодный куб Verilog тестбенч счетчик грея MBFTDI сделаем сумматор ИК приемник SL4A Microsoft Спектр сигнала процессор АЦП testbench miner VHDL tesbench Последовательный порт Иридиум ядро Linux Генератор Marsohod2 USB HOST JTAG Canon RC6 HDMI презентация

Комментарии

  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Randi 07.03.2021 16:54
    obviously like your website but you need to test the spelling on quite a few of your posts. Many ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Beau 07.03.2021 14:43
    you're really a excellent webmaster. The site loading speed is incredible. It sort of feels that ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Chante 07.03.2021 14:36
    I do not even know how I ended up here, but I thought this post was good. I don't know who you are ...

    Подробнее...

     
  • Описание протокола PS/2 для мыши и клавиатуры.

    Jeremiah 06.03.2021 23:58
    Салют, Друзья. Мы предлагаем больше полезностей про где купить ссылки в статьях а также про купить ...

    Подробнее...

     
  • Двигатели

    cipla vidalista 06.03.2021 22:15
    cipla vidalista: vidallista.com/ (http://vidallista.com/)

    Подробнее...

На форуме

    • file
    • программатор с алишки
    • в Наш форум / Вопросы о программаторе MBFTDI
    • от lgedmitry
    • 4 ч. 11 мин. назад
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Результат поиска: найдено 10 объектов.

Совпадение
Ограничение области поиска
1. Verilog State Machine Framework ...
(Проекты Intel Quartus Prime для платы Марсоход3)
Рискну предложить почтенной публике мое новейшее "изобретение": VSMF, Verilog State Machine Framework. Я делаю его для одного из наших внутренних проектов. Пока это не полностью завершенная работа, ...
Создано 24 октября 2015
2. Подборка проектов для платы Марсоход2bis
(Проекты для платы Марсоход2bis)
В этой статье я расскажу про несколько совсем простых проектов платы Марсоход2bis. С готовыми проектами обычно легче начинать свой собственный, так как в исходном готовом проекте уже сделаны все важные ...
Создано 26 августа 2019
3. Обновление для простого SDRAM контроллера
(Разное)
... 0) := "100"; --state machine register signal sdram_cmd : std_logic_vector(2 downto 0) := (others => '1'); --command register signal wr_data1 : std_logic_vector(15 downto 0) := (others ...
Создано 05 марта 2015
4. Простые советы по стилю Verilog
(Разное)
Навеяно комментариями в блоге. Вообще-то, в языке Verilog, который я всячески пропагандирую, действительно есть странные места, от которых у начинающих программистов происходит «вынос мозга». Часто ...
Создано 18 октября 2013
5. Amber ARM впервые работает на плате Марсоход2!
(ARM System-on-Chip)
... написано ведь совсем другое: reg  [3:0] c_state = CS_IDLE; Более того, если зайти в Quartus II в меню Tools => Netlist Viewers => State Machine Viewer то можно видеть, что компилятор Quartus II действительно ...
Создано 25 сентября 2012
6. Сделаем игру Волк-Коза-Капуста
(Проекты Altera Quartus II для платы Марсоход)
... из текста «программ» Verilog или VHDL описания state machines, тоесть «автоматов». После компиляции проекта в среде QuartusII заходим в меню Tools\Netlist Viewers\State machine Viewer. Так вот в первом ...
Создано 14 июня 2010
7. Подборка статей и книг по программированию ПЛИС и языкам Verilog, VHDL, AHDL
(Разное)
...  Techniques.pdf Case Study 1 - DC motor control.pdf Case Study 2- Digital Filter Design.pdf Chapter 1Introduction to Finite-State Machines and State Diagrams for the Design.pdf Chapter 2 Using State ...
Создано 03 мая 2010
8. Модуль на VERILOG играет музыку
(Исходный код)
// MUSICAL STATE MACHINE FOR "PODMOSKOVNYE VECHERA" // Играем "Подмосковные вечера"! module music(     input wire nrst,    //reset signal / сигнал сброса     input wire clk,     ...
Создано 25 января 2010
9. Счетчик в коде Грея
(Комментарии)
а про стили написания есть одна статейка. называется Synthesizable Finite State Machine Design Techniques Using the New SystemVerilog 3.0 Enhancements. там подробно описаны стили описания и результирующующ ...
Создано 26 июня 2015
10. Amber ARM впервые работает на плате Марсоход2!
(Комментарии)
а так пробовали? // User-encoded state machine (* syn_encoding = "user" *) reg [1:0] state; ...
Создано 27 сентября 2012

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

MAX II Raspberry Verilog тестбенч Icarus Verilog Синтезатор нот на VERILOG Quartus II Марсоход3 Первый урок Altera Quartus II M02mini Verilator Altera Мультиплексор инжектор video MAX10 ПЛИС Введение в Verilog Новогодняя елка 2017! InnovativeFPGA ИК приемник testbench Micron MBFTDI Verilog ПИ-Гаджет Почти пустой проект демультиплексор тестбенч Пошаговая инструкция создаем проект Quartus II Передаем Ethernet-пакет процессор Yosys Open SYnthesis Suite установка SMD на плату платы разработчика плата Марсоход SDRAM TAP Controller FPGA 2232H Low Speed Quartus Prime симуляция serial port Verilog HDL программатор финал Марсоход

Комментарии

  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Randi 07.03.2021 16:54
    obviously like your website but you need to test the spelling on quite a few of your posts. Many ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Beau 07.03.2021 14:43
    you're really a excellent webmaster. The site loading speed is incredible. It sort of feels that ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Chante 07.03.2021 14:36
    I do not even know how I ended up here, but I thought this post was good. I don't know who you are ...

    Подробнее...

     
  • Описание протокола PS/2 для мыши и клавиатуры.

    Jeremiah 06.03.2021 23:58
    Салют, Друзья. Мы предлагаем больше полезностей про где купить ссылки в статьях а также про купить ...

    Подробнее...

     
  • Двигатели

    cipla vidalista 06.03.2021 22:15
    cipla vidalista: vidallista.com/ (http://vidallista.com/)

    Подробнее...

На форуме

    • file
    • программатор с алишки
    • в Наш форум / Вопросы о программаторе MBFTDI
    • от lgedmitry
    • 4 ч. 11 мин. назад

Реклама