Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

Светодиодная реклама О плате DE10-Standard симуляция VGA FONT CPLD самолеты RTL Viewer АЦП до-ре-ми инструкция Quartus II фреймбуффер Модуль USB функции цены Волшебная шкатулка Небо в феврале удаленное управление ZX spectrum RTLViewer Raspberry ультразвук Как улучшить проект USB Clock Domain Cross симуляция проекта Марсоход3 SignalTap Quartus II MAX10 скрипт Iridium flare плата Марсоход плата разъемов Altera Еще о метастабильности USB Трекер Анализатор сигнал MS Visual Studio ПЛИС внутри ПЛИС Обзор меню САПР Quartus Prime проект для ПЛИС Новый Quartus II v 14 Verilog тестбенч FPGA Машина мыльных пузырей USBTerm Marsohod2RPI JTAG игра Змейка Программное обеспечение contest

Комментарии

  • Интерфейс Verilog VPI

    Rory 20.05.2022 03:31
    Simply desire to allege your article is as astonishing. Have a look at my site; 토토사이트: www.learnit.today/90527 ...

    Подробнее...

     
  • КИХ фильтр на Verilog

    Gertrude 20.05.2022 03:00
    Simply want to enjoin your clause is as amazing. my webpage ... 토토: ecrane.link/345616 ...

    Подробнее...

     
  • Артефакты разработчика

    Bryce 20.05.2022 02:58
    Hey! I get laid this is middling bump off issue merely I was questioning which blog chopine are ...

    Подробнее...

     
  • Фоторамка. Часть2. TFT-панели с LVDS интерфейсом.

    Isidro 20.05.2022 01:55
    What’s up, yea this article is really exacting and I give well-educated pot of things from it on the ...

    Подробнее...

     
  • Несколько советов по Altera Quartus II

    Lionel 20.05.2022 01:41
    Amercement with your permit Lashkar-e-Tayyi ba me to snap up your provender to observe updated ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Результат поиска: найдено 10 объектов.

Совпадение
Ограничение области поиска
1. Verilog State Machine Framework ...
(Проекты Intel Quartus Prime для платы Марсоход3)
Рискну предложить почтенной публике мое новейшее "изобретение": VSMF, Verilog State Machine Framework. Я делаю его для одного из наших внутренних проектов. Пока это не полностью завершенная работа, ...
Создано 24 октября 2015
2. Подборка проектов для платы Марсоход2bis
(Проекты для платы Марсоход2bis)
В этой статье я расскажу про несколько совсем простых проектов платы Марсоход2bis. С готовыми проектами обычно легче начинать свой собственный, так как в исходном готовом проекте уже сделаны все важные ...
Создано 26 августа 2019
3. Обновление для простого SDRAM контроллера
(Разное)
... 0) := "100"; --state machine register signal sdram_cmd : std_logic_vector(2 downto 0) := (others => '1'); --command register signal wr_data1 : std_logic_vector(15 downto 0) := (others ...
Создано 05 марта 2015
4. Простые советы по стилю Verilog
(Разное)
Навеяно комментариями в блоге. Вообще-то, в языке Verilog, который я всячески пропагандирую, действительно есть странные места, от которых у начинающих программистов происходит «вынос мозга». Часто ...
Создано 18 октября 2013
5. Amber ARM впервые работает на плате Марсоход2!
(ARM System-on-Chip)
... написано ведь совсем другое: reg  [3:0] c_state = CS_IDLE; Более того, если зайти в Quartus II в меню Tools => Netlist Viewers => State Machine Viewer то можно видеть, что компилятор Quartus II действительно ...
Создано 25 сентября 2012
6. Сделаем игру Волк-Коза-Капуста
(Проекты Altera Quartus II для платы Марсоход)
... из текста «программ» Verilog или VHDL описания state machines, тоесть «автоматов». После компиляции проекта в среде QuartusII заходим в меню Tools\Netlist Viewers\State machine Viewer. Так вот в первом ...
Создано 14 июня 2010
7. Подборка статей и книг по программированию ПЛИС и языкам Verilog, VHDL, AHDL
(Разное)
...  Techniques.pdf Case Study 1 - DC motor control.pdf Case Study 2- Digital Filter Design.pdf Chapter 1Introduction to Finite-State Machines and State Diagrams for the Design.pdf Chapter 2 Using State ...
Создано 03 мая 2010
8. Модуль на VERILOG играет музыку
(Исходный код)
// MUSICAL STATE MACHINE FOR "PODMOSKOVNYE VECHERA" // Играем "Подмосковные вечера"! module music(     input wire nrst,    //reset signal / сигнал сброса     input wire clk,     ...
Создано 25 января 2010
9. Счетчик в коде Грея
(Комментарии)
а про стили написания есть одна статейка. называется Synthesizable Finite State Machine Design Techniques Using the New SystemVerilog 3.0 Enhancements. там подробно описаны стили описания и результирующующ ...
Создано 26 июня 2015
10. Amber ARM впервые работает на плате Марсоход2!
(Комментарии)
а так пробовали? // User-encoded state machine (* syn_encoding = "user" *) reg [1:0] state; ...
Создано 27 сентября 2012

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

D-триггер кошка Игра River Raid Мультик MAX II UFM Обновление статей MIPSfpga USB11 Host сдвиг фазы PLL Verilog примеры FPGA ПЛИС Quartus II MIPSfpga button testbench Умный дом LVSD System Verilog температура Altera игра жизнь кормушка Bootloader Pi-zero Гирлянда Wizart Отладка Цап R2R - нюансы Star Track Интересное decoder вычитатель сенсор Low Speed Мультиплексор Verilog RTLViewer Проект машинки Марсоход Forth версия тестбенч WEB интерфейс Quartus TeraTerm уроки Quartus II USB core function COM-порт Modelsim под Windows и Verilog VPI Python

Комментарии

  • Интерфейс Verilog VPI

    Rory 20.05.2022 03:31
    Simply desire to allege your article is as astonishing. Have a look at my site; 토토사이트: www.learnit.today/90527 ...

    Подробнее...

     
  • КИХ фильтр на Verilog

    Gertrude 20.05.2022 03:00
    Simply want to enjoin your clause is as amazing. my webpage ... 토토: ecrane.link/345616 ...

    Подробнее...

     
  • Артефакты разработчика

    Bryce 20.05.2022 02:58
    Hey! I get laid this is middling bump off issue merely I was questioning which blog chopine are ...

    Подробнее...

     
  • Фоторамка. Часть2. TFT-панели с LVDS интерфейсом.

    Isidro 20.05.2022 01:55
    What’s up, yea this article is really exacting and I give well-educated pot of things from it on the ...

    Подробнее...

     
  • Несколько советов по Altera Quartus II

    Lionel 20.05.2022 01:41
    Amercement with your permit Lashkar-e-Tayyi ba me to snap up your provender to observe updated ...

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама