Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

FPGA ИК приемник машина состояний SignalTap Ethernet пакет Марсоход2 CRC32 Verilog примеры ПЛИС Интерфейс Verilog VPI update датчик температуры новая плата Марсоход MAX II Waveforms АМ радиопередатчик Altera RTLViewer Verilog State Machine Framework Altera шаговый двигатель симулятор алгоритм USB JTAG Описание интерфейса PS2 Quartus Prime MBFTDI Verilog System Verilog miner MIPSopen робот плата Марсоход цены Котокормушка Quartus II дешифратор Осторожненько FTDI HC-SR04 debug Четвертый урок Поведенческие блоки сдвиг фазы PLL M02mini Quartus MAX10 шаговый мотор 100ps Презентация Serial

Комментарии

  • Преобразование кода Грея в двоичное число

    Josefina 18.01.2021 04:15
    Hey! I just wanted to ask if you ever have any trouble with hackers? My last blog (wordpress) was ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Bradley 18.01.2021 03:36
    Appreciation to my father who shared with me regarding this website, this webpage is actually ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Leila 17.01.2021 15:56
    Hi there excellent website! Does running a blog similar to this require a great deal of work? I've ...

    Подробнее...

     
  • Новый Quartus II v 14.0

    Annetta 17.01.2021 03:15
    does [censored] work multiple attempts [censored] professional no perscription coupon for [censored] ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Jada 16.01.2021 03:14
    Hey very nice blog!

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Результат поиска: найдено 10 объектов.

Совпадение
Ограничение области поиска
1. Verilog State Machine Framework ...
(Проекты Intel Quartus Prime для платы Марсоход3)
Рискну предложить почтенной публике мое новейшее "изобретение": VSMF, Verilog State Machine Framework. Я делаю его для одного из наших внутренних проектов. Пока это не полностью завершенная работа, ...
Создано 24 октября 2015
2. Подборка проектов для платы Марсоход2bis
(Проекты для платы Марсоход2bis)
В этой статье я расскажу про несколько совсем простых проектов платы Марсоход2bis. С готовыми проектами обычно легче начинать свой собственный, так как в исходном готовом проекте уже сделаны все важные ...
Создано 26 августа 2019
3. Обновление для простого SDRAM контроллера
(Разное)
... 0) := "100"; --state machine register signal sdram_cmd : std_logic_vector(2 downto 0) := (others => '1'); --command register signal wr_data1 : std_logic_vector(15 downto 0) := (others ...
Создано 05 марта 2015
4. Простые советы по стилю Verilog
(Разное)
Навеяно комментариями в блоге. Вообще-то, в языке Verilog, который я всячески пропагандирую, действительно есть странные места, от которых у начинающих программистов происходит «вынос мозга». Часто ...
Создано 18 октября 2013
5. Amber ARM впервые работает на плате Марсоход2!
(ARM System-on-Chip)
... написано ведь совсем другое: reg  [3:0] c_state = CS_IDLE; Более того, если зайти в Quartus II в меню Tools => Netlist Viewers => State Machine Viewer то можно видеть, что компилятор Quartus II действительно ...
Создано 25 сентября 2012
6. Сделаем игру Волк-Коза-Капуста
(Проекты Altera Quartus II для платы Марсоход)
... из текста «программ» Verilog или VHDL описания state machines, тоесть «автоматов». После компиляции проекта в среде QuartusII заходим в меню Tools\Netlist Viewers\State machine Viewer. Так вот в первом ...
Создано 14 июня 2010
7. Подборка статей и книг по программированию ПЛИС и языкам Verilog, VHDL, AHDL
(Разное)
...  Techniques.pdf Case Study 1 - DC motor control.pdf Case Study 2- Digital Filter Design.pdf Chapter 1Introduction to Finite-State Machines and State Diagrams for the Design.pdf Chapter 2 Using State ...
Создано 03 мая 2010
8. Модуль на VERILOG играет музыку
(Исходный код)
// MUSICAL STATE MACHINE FOR "PODMOSKOVNYE VECHERA" // Играем "Подмосковные вечера"! module music(     input wire nrst,    //reset signal / сигнал сброса     input wire clk,     ...
Создано 25 января 2010
9. Счетчик в коде Грея
(Комментарии)
а про стили написания есть одна статейка. называется Synthesizable Finite State Machine Design Techniques Using the New SystemVerilog 3.0 Enhancements. там подробно описаны стили описания и результирующующ ...
Создано 26 июня 2015
10. Amber ARM впервые работает на плате Марсоход2!
(Комментарии)
а так пробовали? // User-encoded state machine (* syn_encoding = "user" *) reg [1:0] state; ...
Создано 27 сентября 2012

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

icarus USB sender ALTERA интернет магазин температура развертка Альтера плата Марсоход Демультиплексор тестбенч PM-радио Правила форума ПЛИС CRC32 Система на кристалле до-ре-ми частотомер ползун Марсоход3 Плата Марсоход3 FPGA 7-segment Quartus II механика симуляция Altera компиляция ядра система на кристалле Verilog Canon RC6 Пять в кубе Intel Шкатулка дешифратор управление цифровой осцилограф Отладка программ в MIPSfpga Зажигаем ёлку! ИК пульт к компьютеру Открываем форум! триггер купить плату make menuconfig python initial statement JTAG FPGA Cyclone III MAX II Quartus Prime инструкция Quartus II

Комментарии

  • Преобразование кода Грея в двоичное число

    Josefina 18.01.2021 04:15
    Hey! I just wanted to ask if you ever have any trouble with hackers? My last blog (wordpress) was ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Bradley 18.01.2021 03:36
    Appreciation to my father who shared with me regarding this website, this webpage is actually ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Leila 17.01.2021 15:56
    Hi there excellent website! Does running a blog similar to this require a great deal of work? I've ...

    Подробнее...

     
  • Новый Quartus II v 14.0

    Annetta 17.01.2021 03:15
    does [censored] work multiple attempts [censored] professional no perscription coupon for [censored] ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Jada 16.01.2021 03:14
    Hey very nice blog!

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама