Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

IR control Verilog HDL OpenCores iverilog ПЛИС Второй урок Иерархия проекта make dep шилд Double Edge Triggered Flip-Flop тестбенч проект для ПЛИС Delta-Sigma DAC Micron MAX10 Serial Последовательный порт DipTrace JTAG Step Motor monitor спектр Altera меандр компилятор C Марсоход2 testbench Фазовая модуляция сигналы USB шины редактор Amber VHDL АЦП SDRAM синтезатор цветомузыка VT100 Verilog VPI SHA256 MAX II Марсоход2RPI светодиод Python Появились платы Правила форума MIPSfpga 7-segment Setup PM-радио Modelsim

Комментарии

  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Dominick 21.01.2021 07:36
    If you wish for to get much from this piece of writing then you have to apply such techniques to your ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Isabell 21.01.2021 06:22
    Keep on working, great job!

    Подробнее...

     
  • Частотомер

    Lieselotte 21.01.2021 06:04
    Hey very cool blog!! Guy .. Beautiful .. Amazing .. I'll bookmark your website and take the feeds ...

    Подробнее...

     
  • Verilog State Machine Framework

    generic cialis 2020 20.01.2021 20:45
    generic [censored] 2020: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

     
  • Симуляция проекта с помощью Icarus-Verilog

    Aracely 20.01.2021 17:42
    Thanks for sharing such a pleasant thinking, piece of writing is fastidious, thats why i have read ...

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

Язык описания аппаратуры Verilog HDL

Результат поиска: найдено 10 объектов.

Совпадение
Ограничение области поиска
1. Verilog State Machine Framework ...
(Проекты Intel Quartus Prime для платы Марсоход3)
Рискну предложить почтенной публике мое новейшее "изобретение": VSMF, Verilog State Machine Framework. Я делаю его для одного из наших внутренних проектов. Пока это не полностью завершенная работа, ...
Создано 24 октября 2015
2. Подборка проектов для платы Марсоход2bis
(Проекты для платы Марсоход2bis)
В этой статье я расскажу про несколько совсем простых проектов платы Марсоход2bis. С готовыми проектами обычно легче начинать свой собственный, так как в исходном готовом проекте уже сделаны все важные ...
Создано 26 августа 2019
3. Обновление для простого SDRAM контроллера
(Разное)
... 0) := "100"; --state machine register signal sdram_cmd : std_logic_vector(2 downto 0) := (others => '1'); --command register signal wr_data1 : std_logic_vector(15 downto 0) := (others ...
Создано 05 марта 2015
4. Простые советы по стилю Verilog
(Разное)
Навеяно комментариями в блоге. Вообще-то, в языке Verilog, который я всячески пропагандирую, действительно есть странные места, от которых у начинающих программистов происходит «вынос мозга». Часто ...
Создано 18 октября 2013
5. Amber ARM впервые работает на плате Марсоход2!
(ARM System-on-Chip)
... написано ведь совсем другое: reg  [3:0] c_state = CS_IDLE; Более того, если зайти в Quartus II в меню Tools => Netlist Viewers => State Machine Viewer то можно видеть, что компилятор Quartus II действительно ...
Создано 25 сентября 2012
6. Сделаем игру Волк-Коза-Капуста
(Проекты Altera Quartus II для платы Марсоход)
... из текста «программ» Verilog или VHDL описания state machines, тоесть «автоматов». После компиляции проекта в среде QuartusII заходим в меню Tools\Netlist Viewers\State machine Viewer. Так вот в первом ...
Создано 14 июня 2010
7. Подборка статей и книг по программированию ПЛИС и языкам Verilog, VHDL, AHDL
(Разное)
...  Techniques.pdf Case Study 1 - DC motor control.pdf Case Study 2- Digital Filter Design.pdf Chapter 1Introduction to Finite-State Machines and State Diagrams for the Design.pdf Chapter 2 Using State ...
Создано 03 мая 2010
8. Модуль на VERILOG играет музыку
(Исходный код)
// MUSICAL STATE MACHINE FOR "PODMOSKOVNYE VECHERA" // Играем "Подмосковные вечера"! module music(     input wire nrst,    //reset signal / сигнал сброса     input wire clk,     ...
Создано 25 января 2010
9. Счетчик в коде Грея
(Комментарии)
а про стили написания есть одна статейка. называется Synthesizable Finite State Machine Design Techniques Using the New SystemVerilog 3.0 Enhancements. там подробно описаны стили описания и результирующующ ...
Создано 26 июня 2015
10. Amber ARM впервые работает на плате Марсоход2!
(Комментарии)
а так пробовали? // User-encoded state machine (* syn_encoding = "user" *) reg [1:0] state; ...
Создано 27 сентября 2012

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

Visual Studio Altera decoder Введение в Verilog синхронный сброс видео игра Теннис Intel JTAG АЦП Windows Еще про отладку WinDbg отладка bblpt Ethernet ПЛИС кросс-компилятор Game ALTERA КИХ Quartus II MAX10 Verilog initial statement Плата Марсоход2 и Matlab Simulink FTDI Altera RTLViewer дешифратор описание схем Bluetooth FPGA плата Марсоход шаговый двигатель но не выиграли демультиплексор USBTerm АМ Марсоход2RPI USB приемник ПИ-Гаджет условное исполнение презентация процессор MINGW связь через USB Amber SoC D-триггер Мультиплексор ModelSim framebuffer

Комментарии

  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Dominick 21.01.2021 07:36
    If you wish for to get much from this piece of writing then you have to apply such techniques to your ...

    Подробнее...

     
  • Пошаговая инструкция для Quartus II: Симуляция проекта

    Isabell 21.01.2021 06:22
    Keep on working, great job!

    Подробнее...

     
  • Частотомер

    Lieselotte 21.01.2021 06:04
    Hey very cool blog!! Guy .. Beautiful .. Amazing .. I'll bookmark your website and take the feeds ...

    Подробнее...

     
  • Verilog State Machine Framework

    generic cialis 2020 20.01.2021 20:45
    generic [censored] 2020: tadalafil.cleckleyfloors.com/ (https://tadalafil.cleckleyfloors.com/)

    Подробнее...

     
  • Симуляция проекта с помощью Icarus-Verilog

    Aracely 20.01.2021 17:42
    Thanks for sharing such a pleasant thinking, piece of writing is fastidious, thats why i have read ...

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама