Sidebar

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
      • Amber ARM SoCAmber ARM SoC
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Тэги

MINGW ASCII OpenCores марсоход2 Altera FPGA Google voice recognition API Эмоции Новый Quartus II v 14 MAX II видеоигра Quartus II плата Марсоход частотомер БИХ тестбенч Фильтр FTDI преобразователь уровня verilog Архитектура ПЛИС 7-segment MAX10 конкурс ПЛИС Altera Quartus II ModelSim LEDs CPLD JTAG Half-step пульт ДУ MBFTDI Amber интернет магазин video MS Visual Studio Часть 1 ffmpeg ALTPLL bin counter программатор MBFTDI Итак не реклама Введение в Verilog Пятый урок Cyclone III Шилд ethernet Intel Scratch

Комментарии

  • ПИ-Гаджет

    Tammie 12.04.2021 05:15
    Great post! We are linking to this great content on our site. Keep up the great writing. Feel free ...

    Подробнее...

     
  • Интел анонсировала выпуск новых FPGA Cyclone 10

    Helen 09.04.2021 04:40
    Промокоды — отличный способ привлечения новых клиентов интернет-магази нами, повышения их лояльности ...

    Подробнее...

     
  • Интел анонсировала выпуск новых FPGA Cyclone 10

    Dinah 07.04.2021 09:01
    Промокод может быть однократным (один покупатель — одна покупка) или многократным (и сам покупаешь ...

    Подробнее...

     
  • Verilog System Tasks

    ateff 26.01.2021 08:48
    Я вот что-то не совсем понимаю смысла вподобного рода симуляции. Верилог всё-таки не язык программировани ...

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 11:51
    Так. Проблема во мне. Я не той стороной вставил плату. Можно удалить мой предыдущий коммент.

    Подробнее...

На форуме

  • Нет сообщений для показа
МАРСОХОД

Open Source Hardware Project

  • Программатор MBFTDI
    • SVF player
    • Драйвер Quartus II
    • Режим USB-to-COM
    • Режим BitBang
  • Плата Марсоход
    • Проекты
  • Плата Марсоход2
    • Описание платы Марсоход2
    • Описание платы Марсоход2bis
    • Проекты
    • Amber ARM SoCAmber ARM SoC
    • Шилд разъемов
    • Шилд Ethernet
    • Шилд 7-ми сегментного индикатора
  • Марсоход2RPI
    • Проекты
  • Плата Марсоход3
    • Проекты
    • MIPSfpga

ARM System-on-Chip

Результат поиска: найдено 24 объектов.

Совпадение
Ограничение области поиска
1. Подборка проектов для платы Марсоход2bis
(Проекты для платы Марсоход2bis)
В этой статье я расскажу про несколько совсем простых проектов платы Марсоход2bis. С готовыми проектами обычно легче начинать свой собственный, так как в исходном готовом проекте уже сделаны все важные ...
Создано 26 августа 2019
2. Виртуальные светодиоды и 7-ми сегментный индикатор
(Проекты Intel Quartus Prime для платы Марсоход3)
...   //unused inputs   input wire FTDI_BD0,   input wire FTDI_BD1,   input wire FTDI_BD2,   input wire FTDI_BD3,   input wire [7:0]FTD,   input wire [7:0]FTC,   input wire [19:0]IO ); wire w_clk_video; ...
Создано 06 ноября 2017
3. Как работает видеоадаптер проекта USBTerm
(Проект графического терминала USBTerm)
... VESA подробно описаны параметры видеосигналов, а именно параметры строчного и кадрового синхроимпульсов, а так же временные интервалы Active / Addressable Video, где происходит передача пикселов от видеоконтроллера ...
Создано 21 января 2016
4. Передача видео кадров в плату Марсоход3
(Проекты Intel Quartus Prime для платы Марсоход3)
...  > c:\test\ffmpeg -i c:\common\h264\test_video\test_video_640x360.mp4 -c:v bmp -f rawvideo -an - | vplayer.exe В командной строке вывод одной программы (FFMPEG) перенаправляется другой программе (VPLAYER) ...
Создано 18 января 2016
5. Видеоадаптер для проекта USBTerm
(Проекты Intel Quartus Prime для платы Марсоход3)
... реальные модули созданные в Altera Quartus Wizard. Например, вот модуль clocks.v Этот модуль создает сигнал сброса для всей схемы и выдает три тактовые частоты: частоту mem_clk для контроллера ОЗУ, video_clk ...
Создано 07 декабря 2015
6. ZX Spectrum
(Проекты Altera Quartus II для платы Марсоход2)
...  модуль проекта выглядит так (кликнуть по изображению, чтобы увеличить): Для управления новыми выводами потребовалось добавить немного логики. Так, данные на выходе video_wr_data будут браться с выходно ...
Создано 05 декабря 2014
7. Графический дизайн или текст Verilog/VHDL?
(Разное)
...      // outputs:     output reg hsync,     output reg vsync,     );          // video signal parameters, default 1440x900 60Hz     parameter horz_front_porch = 80;     parameter horz_sync = 152;     parameter ...
Создано 30 мая 2013
8. Анонс новой платы
(Разное)
... ( 5-6-5 бит, реализует High-Color Video Mode) + HSync, VSync сигналы. Пользовательские:          кнопки - 2          светодиоды - 4          I/O пины -      10     Питание от разъема USB. ...
Создано 18 июля 2012
9. Видеоигра Питон
(Проекты Altera Quartus II для платы Марсоход)
После создания игры Теннис и Теннис для двоих я долго раздумывал, можно ли сделать на плате Марсоход еще что нибудь эдакое. Проблем здесь на самом деле хватает и самая главная - это ограниченные ресурсы ...
Создано 04 апреля 2011
10. Тестбенч игры Теннис
(Исходный код)
... значения которого мы симулируем нажатие кнопок reg [3:0]key; //это выходы видео wire video,video_r,video_g,video_b; //это счет игры, играем до 15 (4'b1111 в двоичном виде) wire [7:0]goals; //эт ...
Создано 05 марта 2011
11. Видео игра теннис для двоих
(Проекты Altera Quartus II для платы Марсоход)
two_tennis Эта игра для двоих. Желательно для детей, так как у них маленькие пальчики и они смогут нажимать четыре кнопочки платы Марсоход . В общем, ничего особенно нового я тут не изобрел. Игра теннис ...
Создано 27 февраля 2011
12. Улучшенный модуль генерации синхросигналов VGA
(Исходный код)
/////////////////////////////////////////////////////////////// //module which generates video sync impulses /////////////////////////////////////////////////////////////// module hvsync (  ...
Создано 20 февраля 2011
13. Частотомер
(Проекты Altera Quartus II для платы Марсоход)
... 373MHZ video.mov ??? ??? ???????????? ??????????? ??? ?????????? ??????? -4?. ????? ?? ??? ????????? ??? ?????????? 2.5? - ????????? ????????? ???????????.    ...
Создано 21 октября 2010
14. Тестер обжимного коннектора UTP
(Проекты Altera Quartus II для платы Марсоход)
... состояния пары мы используем два параллельно включенных светодиода. Это нужно для того чтобы визуально показать "раздвинутую" пару. Это хорошо видно на видео. tester_video Если для этого тестера сделать ...
Создано 04 июня 2010
15. Исходный текст модуля видео синхронизации HVSYNC на VERILOG
(Исходный код)
/////////////////////////////////////////////////////////////// //module which generates video sync impulses /////////////////////////////////////////////////////////////// module hvsync ( ...
Создано 25 января 2010
16. Текст модуля GAME на VERILOG для игры Теннис
(Исходный код)
... [1:0]line_state,     output reg video,     output reg [7:0]goals     ); reg [7:0]x; reg dx; reg [7:0]y; reg dy; reg [20:0]counter; reg tm; //synchronous process always @(posedge ...
Создано 25 января 2010
17. Видеоигра "Теннис"
(Проекты Altera Quartus II для платы Марсоход)
... и собственно сам сигнал, формирующий изображение. Параметры сигналов определяют видеорежим. Вообще-то видеорежимы стандартизированы в спецификации VESA (Video Electronics Standards Association). Нам нужно ...
Создано 12 января 2010
18. Видеоигра "Теннис"
(Комментарии)
Посмотрите по схеме платы (https://marsohod.org/howtostart/plata) и по проекту Quartus: F0 - VSYNC F1 - HSYNC F2 - VIDEO Названия F0, F1, F2 в проекте и на схеме совпадают. Если монитор будет плохо держать ...
Создано 07 февраля 2011
19. Работа над ошибками. Теннис.
(Комментарии)
f0 - vsync f1 - hsync f2 - video В первом проекте описано -https://marsoh od.org/projects /7-videogameten nis ...
Создано 02 сентября 2014
20. Работа над ошибками. Теннис.
(Комментарии)
Аааа, и совсем забыл - что за выход video? video_r, video_g и video_b - с ними все понятно, а вот что за простой сигнал video... ...
Создано 12 мая 2012
  • В начало
  • Назад
  • 1
  • 2
  • Вперёд
  • В конец

Смотрите

  • Главная
  • FPGA блог
  • Форум
  • С чего начать?
  • Проекты
    • Проект Марсоход
    • Проект Марсоход2
      • Amber ARM SoCAmber ARM SoC
    • Проект Марсоход2RPI
    • Проект Марсоход3
    • Проект M02mini
    • Примеры программ
  • Intel Quartus Prime
  • Verilog
  • Скачать
  • Магазин
  • О нас

Подписка

feed1

Тэги

Altera компиляция ядра Mobile Phone MAX10 Quartus Prime CRC32 Марсоход2 Отладка программ в MIPSfpga MAX II дальномер Decoder Raspberry Pi4 баг игра Змейка Altera Quartus II драйвер устройства RTL Viewer toolchain Декодер ALtera главы 1 1 и 1 машинка channel B Quartus II ПЛИС Воспроизведение звука Марсоход3 Intel Quartus Prime симулятор Active Serial Генератор Ethernet пакет USB программатор ENDDR Обновление статей MIPSfpga ALTERA плата Марсоход gray counter Verilog Life Game до-ре-ми Forth воздушная подушка Про наш сайт Verilog HDL Megafunction Wizard Plu-In Manager видеоадаптер Альтера вращение

Комментарии

  • ПИ-Гаджет

    Tammie 12.04.2021 05:15
    Great post! We are linking to this great content on our site. Keep up the great writing. Feel free ...

    Подробнее...

     
  • Интел анонсировала выпуск новых FPGA Cyclone 10

    Helen 09.04.2021 04:40
    Промокоды — отличный способ привлечения новых клиентов интернет-магази нами, повышения их лояльности ...

    Подробнее...

     
  • Интел анонсировала выпуск новых FPGA Cyclone 10

    Dinah 07.04.2021 09:01
    Промокод может быть однократным (один покупатель — одна покупка) или многократным (и сам покупаешь ...

    Подробнее...

     
  • Verilog System Tasks

    ateff 26.01.2021 08:48
    Я вот что-то не совсем понимаю смысла вподобного рода симуляции. Верилог всё-таки не язык программировани ...

    Подробнее...

     
  • Проект FPGA для платы Марсоход2bis и платы расширения с 7-ми сегментным индикатором

    foxtail 15.01.2021 11:51
    Так. Проблема во мне. Я не той стороной вставил плату. Можно удалить мой предыдущий коммент.

    Подробнее...

На форуме

  • Нет сообщений для показа

Реклама