Добро пожаловать, Гость
Логин: Пароль: Запомнить меня
  • Страница:
  • 1
  • 2

ТЕМА: Нужна помощь в написании схемы

Нужна помощь в написании схемы 11 года 3 нед. назад #2300

  • Daniela
  • Daniela аватар Автор темы
  • Не в сети
  • Новый участник
  • Новый участник
  • Сообщений: 6
  • Спасибо получено: 0
Заранее прошу прощения! Может быть, не там пишу! Но очень нужна помощь!
тема проекта: разработка четырехразрядного суммирующего счетчика по ПЛИС FLEX10 №10, написать программу (схему) в Quartus 2,
исходные данные: счетчик разработать на т-триггерах с с инверсным динамическим входом.
Схема есть. И программа Quartus 2 тоже установлена на компьютер. НО!! Готова заплатить денежку, кто поможет в написании этой схемы.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Последнее редактирование: от Daniela.

Re: Нужна помощь в написании схемы 11 года 3 нед. назад #2301

  • nfader
  • nfader аватар
  • Не в сети
  • Осваиваюсь на форуме
  • Осваиваюсь на форуме
  • Сообщений: 37
  • Спасибо получено: 14
Язык не указан, посему пусть будет AHDL. Объяснять тут нечего, и так понятно.
ЗЫ бросайте эту техническую дурь, она не для вас
ЗЗЫ для всех: данный счетчик не повторять в своих проектах, он оооочень тормозной :)
subdesign counter_4(
  cnt : input;
  q[ 3..0 ]: output;
  )
variable
tt[ 3..0 ]: tff;

begin

tt[].clk = ( !tt[ 2..0 ].q, !cnt );
tt[].t = vcc;

q[] = tt[].q;
end;
Спасибо сказали: Daniela

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Последнее редактирование: от nfader.

Re: Нужна помощь в написании схемы 11 года 3 нед. назад #2302

  • Daniela
  • Daniela аватар Автор темы
  • Не в сети
  • Новый участник
  • Новый участник
  • Сообщений: 6
  • Спасибо получено: 0
Спасибо. Но язык VHDL :)

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Нужна помощь в написании схемы 11 года 3 нед. назад #2303

  • Daniela
  • Daniela аватар Автор темы
  • Не в сети
  • Новый участник
  • Новый участник
  • Сообщений: 6
  • Спасибо получено: 0
С удовольствием это бы не делали. Но с савдеповским преподавателем не поспоришь ((((

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Нужна помощь в написании схемы 11 года 3 нед. назад #2304

  • Daniela
  • Daniela аватар Автор темы
  • Не в сети
  • Новый участник
  • Новый участник
  • Сообщений: 6
  • Спасибо получено: 0
вроде что-то написали, буду ждать вердикта от преподавателя... если снова не зачтет работу, буду просить Вас о помощи снова )))

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Нужна помощь в написании схемы 11 года 3 нед. назад #2305

  • bfgroup
  • bfgroup аватар
  • Не в сети
  • Осваиваюсь на форуме
  • Осваиваюсь на форуме
  • Сообщений: 21
  • Спасибо получено: 11
рисуем т-триггер:
library ieee;
use ieee.std_logic_1164.all;

entity T_trigger is
  port(T:in std_logic;
       C:in std_logic;
       Q:out std_logic);
end entity T_trigger;

architecture rtl of T_trigger is
  signal tmp: std_logic:='0';
  begin
    process(T)
      begin
        if falling_edge(T) then
          tmp <= C xor tmp;
      end if;
    end process;
    Q <= tmp;
  end architecture rtl;

из них составляем счётчик:
library ieee;
use ieee.std_logic_1164.all;

entity T_Counter is
  generic(width: integer:= 4);
  port(T:in std_logic;
       C:in std_logic;
       COUNT:out std_logic_vector(width-1 downto 0));
end entity T_Counter;

architecture structural of T_Counter is
  component T_trigger is 
    port(T:in std_logic;
         C:in std_logic;
         Q:out std_logic);
  end component;
  signal m:std_logic_vector(width downto 0);

begin
 g: for i in width-1 downto 0 generate
    p:T_trigger port map (C => C, T => m(i+1), Q => m(i));
    COUNT(i) <= m(width-i);
    end generate;
  m(width) <= T;
end structural;

разрядность можно указать произвольную.
Спасибо сказали: Daniela

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Нужна помощь в написании схемы 11 года 3 нед. назад #2306

  • nfader
  • nfader аватар
  • Не в сети
  • Осваиваюсь на форуме
  • Осваиваюсь на форуме
  • Сообщений: 37
  • Спасибо получено: 14
Странный какой-то T-триггер у Вас получился?? Откуда вход C да еще и с такой логикой работы? У него должно быть динамическое переключение, т.е. без C вообще.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Последнее редактирование: от nfader.

Re: Нужна помощь в написании схемы 11 года 3 нед. назад #2307

  • bfgroup
  • bfgroup аватар
  • Не в сети
  • Осваиваюсь на форуме
  • Осваиваюсь на форуме
  • Сообщений: 21
  • Спасибо получено: 11
nfader, мне понятно Ваше недоумение.
Неоднозначность в понятиях возникает из-за того, что в отечественной литературе по цифровой схемотехнике выделяют два типа Т-триггеров:
  • dT триггер или ассинхронный импульсный триггер, или триггер со счётным входом T. Имеет только один вход и один выход. Именно его Вы и имели в виду. Если честно, то я тоже подразумеваю его, когда говорю Т-триггер
  • .
  • Т триггер. Помимо счётного входа имеет информационный вход, который можно понимать как вход разрешения счёта.
Учитывая то, что препод у топикстартера "совдеповский", я решил, что вряд ли он имел в виду Toggle Flip-Flop, по-этому описал именно Т-триггер с информационным входом.
И да, чтобы уж совсем развеять возможные сомнения, взгляните на аватарку Daniela.
Спасибо сказали: Daniela

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Нужна помощь в написании схемы 11 года 3 нед. назад #2308

  • Daniela
  • Daniela аватар Автор темы
  • Не в сети
  • Новый участник
  • Новый участник
  • Сообщений: 6
  • Спасибо получено: 0
bfgroup, спасибо Вам большое!
Все работает!!!
Профессор сказал, что он бы сам даже такое не написал, что такое должен был писать ОЧЕНЬ УМНЫЙ человек! Ну, и конечно не поверил, что сами такое написали!
Напишите, пожалуйста,Ваш адрес электронной почты или скайп! Как можно с Вами лично связаться???

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Нужна помощь в написании схемы 11 года 3 нед. назад #2309

  • bfgroup
  • bfgroup аватар
  • Не в сети
  • Осваиваюсь на форуме
  • Осваиваюсь на форуме
  • Сообщений: 21
  • Спасибо получено: 11
Daniela, от Вашего комплимента у меня смешанные чувства. Приятно слышать "очень умный", да ещё капслоком в свой адрес. Только код этот -- типовой для образовательной сферы, но совершенно бесполезный в инженерии. На практике Вам никогда не придётся составлять счётчики из Т-триггеров. Печалит уровень обучения HDL в вузах.
Мой ящик: Этот адрес электронной почты защищён от спам-ботов. У вас должен быть включен JavaScript для просмотра.
Очень жаль, что форум не поддерживает личные сообщения и по-этому приходится всё это писать тут.
Спасибо сказали: Daniela

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

  • Страница:
  • 1
  • 2
Время создания страницы: 0.181 секунд
Работает на Kunena форум