-
www_and
-
Автор темы
-
Не в сети
-
Новый участник
-
-
Сообщений: 6
-
Спасибо получено: 0
-
-
|
Пила находится над уровнем нуля на 1.5 вольта, размах пилы 1.5 вольт (как и прямоугольника и обратной пилы). Вместо синуса постоянный уровень 1.5 вольт. Выложу скрины проекта, осциллограммы через 1-2 дня, сейчас я на выезде на электрических испытаниях по работе.
|
Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.
|
-
nckm
-
-
Не в сети
-
Администрация форума
-
-
Сообщений: 112
-
Спасибо получено: 34
-
-
-
-
-
|
Попробовал симулировать ваш код - замечательно симулируется и выдает синус.
Вот мой тестбенч: module tb;
reg clk=0;
always
#5 clk=~clk;
wire [7:0]out;
gen_256 mod(
.clk50M(clk),
.adder_value(10000),
.waveform(2'b00),
.signal_out(out)
);
initial
begin
$dumpfile("out.vcd");
$dumpvars(0,tb);
#10000000;
$finish();
end
endmodule
Скриншот GtkWave во вложении. Думаю должно работать.
А не может быть, что у вас на adder_value подается очень маленькое значение, например 1 или вообще ноль, тогда на accumulator будет ноль или очень медленный рост?
|
Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.
|
Время создания страницы: 0.164 секунд