Добро пожаловать, Гость
Логин: Пароль: Запомнить меня
  • Страница:
  • 1
  • 2
  • 3
  • 4
  • 5

ТЕМА: ZX Spectrum для Марсохода3 на основе проекта MVV

ZX Spectrum для Марсохода3 на основе проекта MVV 9 года 6 мес. назад #4619

  • Ynicky
  • Ynicky аватар Автор темы
  • Не в сети
  • Завсегдатай
  • Завсегдатай
  • Сообщений: 209
  • Спасибо получено: 39
Посмотрел, что выводит монитор:
640p
60Hz
Подключил АЦП, вывел старшие разряды на светодиоды.
Припаял снаружи переменный резистор. АЦП работает как надо.
Но загрузка игр со звуковой карты не работает.
Желтый канал осциллографа - сигнал со звуковой карты.
Вывел сигнал TEST(tape_in) наружу (голубой канал). Осциллограф показывает хороший
сигнал. Но картинка на мониторе, похоже, не та.



В проекте сделал следующие правки:
cloud.mail.ru/public/AbRR/6i2dwD7aB

Сам проект:
Проект

Может кто знает, что не так? Посоветуйте как исправить.


To iff2:
Платы ReVerSE-U16 из Украины в Россию не высылают.
Я бы с удовольствием ее приобрел.
Если Вы можете ее привезти, я готов купить.

Николай.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

ZX Spectrum для Марсохода3 на основе проекта MVV 9 года 6 мес. назад #4620

По коду на картинке вроде все правильно,
по видео похоже, что Вы пытаетесь грузить турбированную программу
попробуйте подставить в ПЗУ прошивку с турборежимом или сделать waw с программой на обычной скорости.
Спасибо сказали: Ynicky

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

ZX Spectrum для Марсохода3 на основе проекта MVV 9 года 5 мес. назад #4638

  • Ynicky
  • Ynicky аватар Автор темы
  • Не в сети
  • Завсегдатай
  • Завсегдатай
  • Сообщений: 209
  • Спасибо получено: 39
В проекте zx48k так и не получилось загрузить игру с магнитофона (звуковой карты).
Попробовал портировать zx128k. Загрузка с магнитофона получилась.
Но только в режиме 48k. Не хватает внутреннего статического ОЗУ.
С SDRAM также не получилось. Видимо из за разных м/с.
Подключил шилд microSD, пока без карты. Как куплю, попробую загружаться с нее.






проект

Николай.
Вложения:

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Последнее редактирование: от Ynicky.

ZX Spectrum для Марсохода3 на основе проекта MVV 9 года 5 мес. назад #4647

  • Ynicky
  • Ynicky аватар Автор темы
  • Не в сети
  • Завсегдатай
  • Завсегдатай
  • Сообщений: 209
  • Спасибо получено: 39
Скорректировал контроллер SDRAM под плату Марсоход3.
Теперь проект zx128k работает.

msh3_zx128k_sdr_20150923

Николай.
Спасибо сказали: frantony

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

ZX Spectrum для Марсохода3 на основе проекта MVV 9 года 5 мес. назад #4652

Ynicky пишет: Скорректировал контроллер SDRAM под плату Марсоход3.
Теперь проект zx128k работает.

msh3_zx128k_sdr_20150923


Николай!

Я добавил в wiki ссылки на Ваши рабочие материалы (см. github.com/open-design-org/marsohod/wiki ).

Посмотрел изменения msh3_zx128k_sdr_20150923 по сравнению с msh3_zx128k_20150922.

Меня смущают изменения вроде такого:
--- a/rtl/ram/rom0.vhd
+++ b/rtl/ram/rom0.vhd
@@ -63,7 +63,7 @@ BEGIN
                clock_enable_input_a => "BYPASS",
                clock_enable_output_a => "BYPASS",
                init_file => "../rom/128.hex",
-               intended_device_family => "MAX 10",
+               intended_device_family => "Cyclone IV E",^M
                lpm_hint => "ENABLE_RUNTIME_MOD=NO",
                lpm_type => "altsyncram",
                numwords_a => 32768,

С чем это связано?



Среди изменений msh3_zx128k_sdr_20150923 по сравнению с msh3_zx128k_20150922
есть несколько изменений только форматирования --- такие изменения затрубняют понимание:
+++ b/rtl/zx.vhd
@@ -393,12 +392,12 @@ port map (
 -- SSG
 U13: entity work.ay8910
 port map (
-       CLK_I                   => clk_ssg,
+       CLK_I           => clk_ssg,
        EN_I            => '1',
-       RESET_I                 => reset,
-       BDIR_I                  => ssg_bdir,
-       CS_I                    => '1',
-       BC_I                    => ssg_bc,
+       RESET_I         => reset,
+       BDIR_I          => ssg_bdir,
+       CS_I            => '1',
+       BC_I            => ssg_bc,
        DATA_I          => cpu_data_o,
        DATA_O          => ssg_data_o,
        CH_A_O          => ssg_ch_a,

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

ZX Spectrum для Марсохода3 на основе проекта MVV 9 года 5 мес. назад #4655

  • Ynicky
  • Ynicky аватар Автор темы
  • Не в сети
  • Завсегдатай
  • Завсегдатай
  • Сообщений: 209
  • Спасибо получено: 39
В rom0 опять взял исходник и забыл поправить.
Красоту теперь не буду наводить.

Николай.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

ZX Spectrum для Марсохода3 на основе проекта MVV 9 года 5 мес. назад #4657

Ynicky пишет: В rom0 опять взял исходник и забыл поправить.
Красоту теперь не буду наводить.


Красоту я сейчас наведу сам.

Вот предварительный вариант --- ветка temp: github.com/open-design-org/msh3_zx128k/tree/tmp

Я буу ещё переписывать историю, например, в msh3_zx128k_20150922.zip altpll0 добавляются, а в msh3_zx128k_sdr_20150923.zip --- удаляются.
Такие вещи я исправлю.

Вот мои новые вопросы:


Зачем используется u2d.exe в msh3_zx128k_20150922.zip? Запускать я его не буду (под linux мне это не слишком удобно), а нагуглить, что это такое я не смог.


В msh3_zx128k_sdr_20150923.zip меня смущает вот это:
--- a/syn/u16.sdc
+++ b/syn/u16.sdc
@@ -2,6 +2,6 @@ set_time_format -unit ns -decimal_places 3
 
 derive_clock_uncertainty
 
-create_clock -name {CLK_100MHZ} -period 10 [get_ports {CLK_100MHZ}]
+create_clock -name {CLK_50MHZ} -period 20 [get_ports {CLK_50MHZ}]
 
 derive_pll_clocks

AFAIR у марсохода3 входной clock именно 100 МГц?

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

ZX Spectrum для Марсохода3 на основе проекта MVV 9 года 5 мес. назад #4665

  • Ynicky
  • Ynicky аватар Автор темы
  • Не в сети
  • Завсегдатай
  • Завсегдатай
  • Сообщений: 209
  • Спасибо получено: 39

Зачем используется u2d.exe в msh3_zx128k_20150922.zip? Запускать я его не буду (под linux мне это не слишком удобно), а нагуглить, что это такое я не смог.


Это утилита, переводящая в текстовых файлах перевод строки с юникса в дос.
ЕЕ нужно выкинуть.

AFAIR у марсохода3 входной clock именно 100 МГц?


Да. Нужно сделать 100 МГц.

Николай.

P.S. При переходе опять на SDRAM брал исходный проект, и не все поправил, поэтому такие ошибки.
Извиняюсь.
А, вообще то, проект от 22 числа уже не нужен.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Последнее редактирование: от Ynicky.

ZX Spectrum для Марсохода3 на основе проекта MVV 9 года 5 мес. назад #4667

А как называется игрушка на второй фотографии?
Если загружать с телефона, можно ли напрямую соединить шнуром аудиовыход телефона с аудиоразъёмом на шилде расширения?

Т.е. есть желание поностальгировать, но прилагать к этому усилия не хочется.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

ZX Spectrum для Марсохода3 на основе проекта MVV 9 года 5 мес. назад #4669

  • Ynicky
  • Ynicky аватар Автор темы
  • Не в сети
  • Завсегдатай
  • Завсегдатай
  • Сообщений: 209
  • Спасибо получено: 39

А как называется игрушка на второй фотографии?

В проекте есть файл Exolon.wav. Это и есть название игры.

Если загружать с телефона, можно ли напрямую соединить шнуром аудиовыход телефона с аудиоразъёмом на шилде расширения?

Я соединяю выход звуковой карты через конденсатор 1 мкФ, после него на землю резистор 10 кОм и на вывод 1 разъема CN1 (по схеме шилда. Это вход АЦП). Аудиоразъем - это выход, так что нельзя.

Николай.
Спасибо сказали: alman

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Последнее редактирование: от Ynicky.
  • Страница:
  • 1
  • 2
  • 3
  • 4
  • 5
Время создания страницы: 0.265 секунд
Работает на Kunena форум